Sei sulla pagina 1di 6

2010 23rd International Conference on VLSI Design

Analysis, Design and Simulation of Capacitive


Load Balanced Rotary Oscillatory Array
Vinayak Honkote and Baris Taskin
Department of Electrical and Computer Engineering
Drexel University, Philadelphia, PA, 19104
vh32@drexel.edu, taskin@coe.drexel.edu

Abstract— The high frequency of the rotary clocking technol- years [9, 10, 12–15]. The rotary clocking technology provides
ogy is often susceptible to implementation parameters such as constant magnitude clock signals with varying phase. Due to
the variation in the total capacitive load distribution between the “traveling” (varying phase) nature of the clock signal, the
the rings. SPICE simulations performed on the rotary rings with
unbalanced capacitive load distribution show a 30.31% variation distribution of the rotary clock on the Rotary Oscillatory Array
in the simulated frequencies across the rings. To address this (ROA) distribution network features non-zero clock skew
problem, two novel methodologies called OCLB and SOCLB, are operation. The non-zero clock skew has the added advantage of
formulated for the optimal capacitive load balancing and sub- 30% higher clock frequencies on average [16]. The tapping of
optimal capacitive load balancing with minimized wirelength, registers on to the ROA network to satisfy the non-zero clock
respectively. SPICE simulations performed with OCLB show
0.30% variation in the simulated frequencies across the rings. skew requirements, however, might degrade the capacitive
Further, SOCLB results in an average wirelength improvement balance of the rotary rings. The capacitive load distribution is
of 69.24% over OCLB with a relatively balanced capacitive an integral part of the operation of rotary clocking technology
load distribution. SPICE simulations performed with SOCLB due to its implications on clock resonance. The majority of
show 2.40% variation in the simulated frequencies across the previous work on rotary clocking deal with the unique timing
rings, improved significantly over the 30.31% variation of the
unbalanced case. properties of this technology [10, 13–15, 17]. However, there
is no published design automation work on the capacitance
I. I NTRODUCTION analysis and balancing for the rotary clocking technology.
The design of the clock distribution network partially Towards this end:
characterizes the performance and reliability of the modern 1) The effects of unbalanced capacitance distribution on
integrated circuits (IC). The requirements of increased clock the clock frequencies of the rings of ROA are analyzed
frequency at low power budgets make the task of clock using SPICE simulations,
distribution network design a complicated one. The clock 2) A novel scheme called OCLB (optimal capacitive load
distribution networks are expected to drive thousands of balancing) is proposed for the rotary rings of the ROA,
synchronous components (such as, registers) with minimal 3) A practical scheme called SOCLB (suboptimal capaci-
power dissipation [1]. However, the clock distribution net- tive load balancing) is proposed with the objective of
works consume a significant portion of the total power (often reducing the overall wirelength of OCLB,
between 15%-50%) consumed by the IC [1, 2]. The high power 4) SPICE simulations are performed for both OCLB
dissipation in the clock distribution network is the dynamic and SOCLB, and the resultant clock waveforms are
power dissipation due to the frequent switching of the large presented.
capacitive load of the clock network infrastructure. The rest of the paper is organized as follows. In Sec-
The current high frequency clock generation schemes, such tion II, a brief technical background for the rotary clocking
as PLL based oscillator circuits, consume high power and re- technology is presented. The effect of unbalanced capacitive
quire a large area. The resonant clocking technologies such as load on the clock frequencies is demonstrated using SPICE
coupled LC oscillators [3, 4], distributed oscillators [5], stand- simulations in Section III. The proposed OCLB methodology
ing wave oscillators [6–8], and rotary traveling oscillators [9, with the experimental results is presented in Section IV. In
10], satisfy the low power requirements with a reduction in Section V, SOCLB methodology is presented. Conclusions are
the area as the clock signal is generated through resonance presented in Section VI.
on the distribution networks. The low power operation is
achieved through the charge recovery feature [11] of resonant II. ROTARY CLOCKING TECHNOLOGY
clocking technologies. The resonant clocking schemes, with Rotary clocking technology is traditionally implemented
the high frequency and low-power dissipation features, provide with a regular array (grid) topology called rotary oscillatory
attractive alternatives to the conventional PLL based design arrays (ROAs) as shown in Fig. 1. ROAs are generated on
schemes. the cross-connected transmission lines formed by regular IC
Out of these resonant clocking technologies, rotary clock- interconnects. An oscillation can start spontaneously upon any
ing technology has gained considerable attention in recent noise event or stimulated by a start-up circuit for controlled

1063-9667/10 $26.00 © 2010 IEEE 218


DOI 10.1109/VLSI.Design.2010.71

Authorized licensed use limited to: BANGALORE INSTITUTE OF TECHNOLOGY. Downloaded on March 30,2010 at 02:22:32 EDT from IEEE Xplore. Restrictions apply.
Fig. 2. Connecting register R(x,y) to a tapping point.

Fig. 1. Basic rotary clock architecture. be identified. The oscillation frequency of the resonant rotary
clocking system is estimated as:
operation [9]. When the oscillation is established, a square 1
wave signal can travel along the rings of the ROA without fosc = √ , (1)
2 LT CT
termination. Oscillations on the rings are locked in phase on
the ROA, minimizing the effects of jitter. The anti-parallel where LT and CT are the total inductance and total capacitance
inverter pairs between the interconnects serve to sustain the respectively, along the path of the rotary signal on the ring.
signal propagation on the wires and aid in charge the recovery The total inductance LT is estimated by:
  
process. Such rotary oscillator generated square waves present Pµ0 πs
low jitter and controllable skew properties. A rotary traveling LT = log +1 , (2)
π w+t
wave of frequency as high as 18GHz is implemented in [18]
where P is the perimeter of the ring, s is the wire separation,
and up to 70% power savings are reported in [9, 12].
w is the width of the wire, t is the thickness of the wire and
For the rotary-topology implementation, the phase and the µ0 is the permeability in vacuum. The total capacitance CT is
frequency information are critical. For the phase information, given by:
an arbitrary point on the ring is identified as the reference
point with clock signal delay t = 0, corresponding to a phase CT = ∑ Creg + ∑ Cinv + ∑ Cwire + ∑ Cring , (3)
of θ = 0◦ . The clock signal travels along the ring and reaches
where Creg , Cinv , Cwire and Cring , are capacitances contributed
back the reference point with the phase of θ = 360◦ . A phase
by the registers, the inverters between the differential transmis-
of 360◦ is defined for notational convenience and is associated
sion lines, the register tapping wires and the ring transmission
with a clock delay equivalent to one clock period T. For
line interconnects, respectively. The wires used in rotary
example, 90◦ of phase corresponds to T/4 units of delay. At
clocking technology are wide enough and the anti-parallel
any point on the ring, the clock signal delay t and the clock
θ inverters shorten the wirelength of each line segment, such
signal phase θ can be obtained using the equation 360 = Tt .
that, the wire resistances are neglected.
On the rotary ring, different points are marked at uniform
distances based on the phase of the clock signal. In Fig. 2, III. EFFECTS OF UNBALANCED CAPACITIVE
twelve (12) such points are uniformly marked. These points LOAD ON THE FREQUENCY OF THE ROA
are called the tapping points. These tapping points are the The stability of the rotary operational frequency fosc is par-
potential locations from where the registers can derive the tially characterized by the capacitive load distribution on each
clock signals. Note that, although these tapping points have ring of the rotary oscillatory array. The operating frequency
clock signals with identical amplitude, the phases are different of a rotary ring depends on the device parameters as shown
due to the “traveling” nature of the rotary clock signal. Since in (1). The inductance of the rotary ring depends primarily on
the registers also have specific phase requirements (non-zero the interconnect geometry and is identical for each ROA ring.
clock skew), the registers will get connected to the different The capacitance for the rotary ring is composed of four (4)
tapping points based on the skew required, such that, the different components as explained in (3) and Cinv and Cring
tapping wirelength is minimal. are identical for each ROA ring. Creg and Cwire depend on
For the frequency information of the rotary clock signal, the the number of registers connected to each ring as well as
capacitive and inductive properties of the rotary rings need to their physical proximity to the ring. SPICE simulations are

219

Authorized licensed use limited to: BANGALORE INSTITUTE OF TECHNOLOGY. Downloaded on March 30,2010 at 02:22:32 EDT from IEEE Xplore. Restrictions apply.
Minimize k
Subject to ∑ ci, j xi, j = p j ∀j
i

∑ xi, j = 1 ∀ i ∈ {0, ...M}


j
|p j1 − p j2 | ≤ k ∀ j1 , j2 ∈ {0, ...N}

xi, j ∈ {0, 1} ∀ i, j

Fig. 4. ILP formulation for Problem OCLB.

the corresponding ring. The clock waveforms observed for


this setup are shown in Fig. 3. Across the different rings of
the ROA, a maximum variation of 30.31% in frequency is
observed from 1.281GHz to 1.838GHz. Note that, in addition
to the unmatched frequencies, the oscillations are not very
stable due to the high capacitance imbalance across the rings
of the ROA. When the synchronous components are connected
to different rotary rings and different tapping points on the
rotary ring—in order to satisfy the skew requirements—similar
variations might occur.

IV. CAPACITIVE LOAD BALANCING ON ROA


As shown in Section III, the unbalanced capacitance distri-
bution across the rotary rings causes very poor oscillation char-
acteristics, which renders rotary clocking impractical for high
frequency implementations. Hence, to obtain a stable operating
frequency of the rotary ring, the capacitive load should be
balanced across the ROA. Towards this end, Problem OCLB
for the optimal capacitive load balancing is formulated in Sec-
Fig. 3. SPICE simulations for unbalanced capacitance distribution on the
five (5) rings of the ROA resulting in a frequency variation of 30.31%.
tion IV-A, and the experimental results for Problem OCLB are
presented in Section IV-B.
performed to observe the effects of an unbalanced capacitance A. Problem OCLB: Optimal Capacitive Load Balancing
distribution on the frequency of the rotary rings of the ROA. Consider i number of registers synchronized by j number of
Alternative SPICE models for rotary clocking have been rotary rings on the ROA. For a capacitive balanced implemen-
proposed in [8, 12], which accurately capture the transmission tation, each register needs to be assigned to a rotary ring in the
line behavior. However, the variation in the capacitive load ROA depending on the capacitive cost. The capacitive cost of
across the rings of the ROA, and the effects of such a variation each tapping wire reflects the capacitive load of such tapping,
on the frequency of the rings are not addressed by the previous computed by considering the register input capacitance ∑ Creg
work in [8, 9, 12, 15]. Towards this end, a SPICE model is and the tapping wirelength capacitance ∑ Cwire . For each regis-
created in order to develop an accurate simulation model ter i, the capacitive cost of connecting to a ring j is computed
for rotary clocking. The existing U-element from HSPICE is by identifying the tapping location on each ring j to satisfy the
used to model the lossy transmission line [19], however, with skew mismatch minimization objective. As each register will
the modified SPICE netlist to incorporate the capacitive load be connected to one ring only, one of the possible j capacitive
variation across the rotary rings due to changing Cwire . costs need to be selected so as to maintain the total capacitive
load balance between each ring on the ROA.
The circuit is setup in SPICE to display five (5) of the
The Problem OCLB is formulated as an integer linear
ROA rings of a relatively slow frequency for rotary clocking.
programming (ILP) problem as shown in Fig. 4. The objective
In this demonstrative setup, the total capacitance on each of
of Problem OCLB is to minimize k, the difference in capac-
the five (5) rings is varied in order to simulate the unbal-
itive loading across rotary rings on the ROA. The cost ci, j is
anced capacitive load distribution. For the five (5) selected
the tapping cost of connecting register i to ring j. The binary
rotary rings Ring1, Ring2, Ring3, Ring4 and Ring5,
variables xi, j denote register i connecting to ring j. First set
the total capacitance loads of 10pF, 20pF, 30pF, 40pF and
of constraints are defined for each ring j, where p j is the
50pF, are modeled respectively. The total capacitance of each
total capacitive cost on ring j. The second set of constraints
ring is uniformly distributed to the tapping points within

220

Authorized licensed use limited to: BANGALORE INSTITUTE OF TECHNOLOGY. Downloaded on March 30,2010 at 02:22:32 EDT from IEEE Xplore. Restrictions apply.
TABLE I
R ESULTS FOR OCLB FORMULATION .
Benchmark Grid size # of integer variables Run time (sec) Capacitance variation k (pF) Improvement in k
without balancing with OCLB
R1 5×5 6675 1 5.70 2.21 2.58X
R2 6×6 21528 3 14.59 2.29 6.37X
R3 7×7 42238 11 10.35 3.46 2.99X
R4 9×9 154143 87 14.79 3.96 3.74X
R5 10 × 10 310100 198 17.13 5.76 2.97X

pacitance variation for unbalanced capacitance distribution and


for optimal capacitance balance for the non-zero clock skew
implementation, improvement in capacitance balancing and
the corresponding run times. The capacitance variation across
the ROAs of the R1-R5 benchmark circuits ranges from
5.70pF and 17.13pF. The optimal capacitance balance k (be-
tween each ROA ring) is between 2.21pF and 5.76pF. Thus,
on average 3.73X improvement in capacitance balancing is
achievable using OCLB. The optimal capacitive balance is
demonstrated in Fig. 5 for the 25 (5 × 5) rings of the ROA on
the benchmark circuit R1. The capacitive load balance between
Fig. 5. Capacitance distribution of R1 on a 5 × 5 grid with proposed OCLB each ring is visible with a maximum difference of k = 2.21pF.
formulation. The total capacitance is 286.49pF.
The drawback of OCLB is the excessive wirelength used to
adhere the optimal capacitive load balance, by tapping some
are defined for each register, where the summation guarantees registers to distant tapping points and distant rings. Due to this
that each register is connected to only one ring. The third set potentially excessive wirelength, however, the total capacitive
of constraints are defined for each pair of rings j1 and j2 , load also is high (286.49pF).
where k is the difference in the capacitive costs of each ring
SPICE simulations are performed for the ROA implementa-
that is being minimized by the objective function. In a circuit
tion computed by OCLB in order to observe the improvement
with M registers and N rings,
 there are MN number of binary in oscillation characteristics. The circuit is setup in SPICE
variables and M + N + M2 number of constraints.
to display five (5) of the ROA rings similar to the setup for
the unbalanced capacitance distribution case in Section III.
B. Experimental Results for OCLB
In this setup, the capacitance values obtained from the OCLB
The ring perimeter is fixed to Pr based on the frequency fr ,
analysis (from Fig. 5) are incorporated in the SPICE netlist.
which is selected as 1.8GHz. The test data are the IBM R1-R5
The maximum capacitive imbalance of k = 2.21 is used in the
benchmark circuits which have a number of clock sinks
setup in order to investigate the variation in frequency across
ranging from 267 to 3101. Based on fr and the floorplan, the
the five rings of the ROA. The resultant waveforms are shown
benchmark circuits R1, R2, R3, R4 and R5 are partitioned into
in Fig. 6. It is observed that the frequency is relatively constant
ROA grid sizes (5 × 5), (6 × 6), (7 × 7), (9 × 9) and (10 × 10),
across the different rotary rings of the ROA. A maximum fre-
respectively. Note that, the R1-R5 benchmark circuits use a
quency variation of 0.30% is observed across the five (5) rings
generic distance unit. Thus, the same generic unit is used in
of the ROA. This frequency variation of 0.30% is superior
this paper to represent the wirelengths. However, the distances
when compared to the 30.31% frequency mismatch observed
are appropriately scaled down in order to incorporate the
in the results of the simulations for the unbalanced capacitance
physical dimensions of the wires and transmission lines in the
case. The frequency magnitudes are not directly comparable,
SPICE simulation models for target frequencies. Input capaci-
as the total capacitive loads in unbalanced capacitance case
tance for the synchronous components and per unit capacitance
and the OCLB problem solutions are different.
of the tapping wires are obtained from the R1-R5 benchmark
circuits. In experiments, non-zero clock skew implementations
are necessary for comparison purposes. To facilitate the non-
zero skew implementation, phase values—ranging from 0◦ V. MINIMIZING WIRELENGTH ACROSS
to 360◦ —are randomly generated for the register sinks in CAPACITANCE BALANCED ROA
the benchmark circuit files. The integer linear programming
problem formulated for Problem OCLB is solved using a
commercial mixed integer programming solver CPLEX [20]. One drawback of OCLB, as observed in Table I, is the po-
For OCLB, experiments are performed to demonstrate the tentially excessive wirelengths obtained for the optimal capac-
maximum capacitive load balanced implementation. The re- itance balanced solution. To address the excessive wirelength
sults are tabulated in Table I, demonstrating the ROA grid problem, methodology SOCLB is developed in Section V-A
size, number of integer variables on the formulation, the ca- and the experimental results are presented in Section V-B.

221

Authorized licensed use limited to: BANGALORE INSTITUTE OF TECHNOLOGY. Downloaded on March 30,2010 at 02:22:32 EDT from IEEE Xplore. Restrictions apply.
Minimize ∑ ci, j xi, j
i
Subject to ∑ ci, j xi, j = p j ∀j
i

∑ xi, j = 1 ∀ i ∈ {0, ...M}


j
|p j1 − p j2 | ≤ kUB ∀ j1 , j2 ∈ {0, ...N}

xi, j ∈ {0, 1} ∀ i, j

Fig. 7. ILP formulation for SOCLB.

Fig. 8. Capacitance distribution of R1 on a 5 × 5 grid with the pro-


posed SOCLB formulation. The total capacitance is 116.27pF.

solution. The practical bound on capacitive balancing is set


to twice the optimal value presented in Table I for each
circuit. The results are tabulated in Table II, demonstrating
Fig. 6. SPICE simulation results for OCLB formulation. Frequency variation the capacitive difference k for both OCLB and SOCLB and
by 0.30% for the capacitance imbalance of k = 2.21 for R1 circuit.
the improvements in the total tapping wirelength. The average
improvement in the tapping wirelength for the sub-optimally
A. SOCLB: Sub-optimal Capacitive Load Balancing for Min- capacitive balanced circuits is 69.24%. Thus, by sacrificing
imum Tapping Wirelength some capacitive balance (while maintaining enough for robust
A more practical approach for a capacitance balanced ROA operation), excessive wirelengths are prevented. Finally, the
is to keep the capacitive balance difference under a predeter- capacitive balance is demonstrated in Fig. 8 for the 25 (5 × 5)
mined upper bound for robust oscillation while minimizing rings of the ROA on the benchmark circuit R1.
the overall tapping wirelength. In practice, the upper bound Next, SPICE simulations are performed for SOCLB. The
depends on the manufacturing technology and the level of fre- circuit is setup in SPICE to display five (5) of the rotary
quency mismatch tolerable by the design. In experimentation, rings corresponding to R1 benchmark circuit, similar to the
an upper bound based on the solution of OCLB is selected for setup for OCLB. In this setup, the capacitance values obtained
simplicity. from the SOCLB analysis (from Fig. 8) are incorporated in
This optimization problem, labeled SOCLB, is modeled as the SPICE netlist. The maximum capacitive imbalance for
an ILP as shown in Fig. 7. The objective is minimizing the the R1 benchmark circuit—k = 4.42—is used in order to
total capacitive balancing load on each ring of the ROA. investigate the variation in frequency across the five rings
The first and second set of constraints are defined similar to of the sample ROA. The resultant waveforms are shown in
OCLB. In the third set of constraints, the capacitance balance Fig. 9. A maximum frequency variation of 2.40% is observed
mismatch between each ring is set to be bounded by the upper across the five (5) rings of the ROA. Frequency variation
bound kUB . Similar of 2.40% is observed which is degraded over 0.3% of OCLB
  to OCLB, SOCLB has MN binary variables
and M + N + M2 constraints for a circuit with M registers and but significantly improved over the 30.31% variation of the
N rings. unbalanced case.

B. Experimental Results for SOCLB VI. CONCLUSION


For SOCLB, the experiments are performed to demonstrate Rotary clocking is a resonant clocking technology under
the proposed practical implementation of minimal total wire- investigation for its operation and design automation. It is
length for a capacitance balanced (with an upper bound) shown using SPICE simulations that the unbalanced capacitive

222

Authorized licensed use limited to: BANGALORE INSTITUTE OF TECHNOLOGY. Downloaded on March 30,2010 at 02:22:32 EDT from IEEE Xplore. Restrictions apply.
TABLE II
W IRELENGTH IMPROVEMENT FOR SOCLB METHODOLOGY.
Benchmark Capacitance variation k for OCLB (pF) Capacitance variation k for SOCLB (pF) Wirelength improvement for SOCLB over OCLB
R1 2.21 4.42 62.55%
R2 2.29 4.58 65.24%
R3 3.46 6.92 66.05%
R4 3.96 7.92 74.77%
R5 5.76 11.52 77.59%
Average — — 69.24%

R EFERENCES
[1] E. G. Friedman, “Clock distribution networks in synchronous digital
integrated circuits,” In Proc. IEEE, vol. 89, no. 5, pp. 665–692, May
2001.
[2] P. Gronowski, W. Bowhill, R. Preston, M. Gowan, and R. Allmon,
“High performance microprocessor design,” IEEE Journal of Solid-State
Circuits, vol. 33, no. 5, pp. 676–686, May 1998.
[3] S. C. Chan, P. J. Restle, N. K. James, and R. L. Franch, “A 4.6 GHz
resonant global clock distribution network,” in Proceedings of IEEE
International Solid-State Circuits Conference (ISSCC), Feb. 2004, pp.
341–343.
[4] S. C. Chan, K. L. Shepard, and P. J. Restle, “Design of resonant global
clock distributions,” in Proceedings of IEEE International Conference
on Computer Design (ICCD), 2003, pp. 238–243.
[5] A. Drake, K. Nowka, T. Nguyen, J. Burns, and R. Brown, “Resonant
clocking using distributed parasitic capacitance,” IEEE Journal of Solid-
State Circuits, vol. 39, no. 9, pp. 1520–1528, Sep. 2004.
[6] F. O’Mahony, C. Yue, M. Horowitz, and S. Wong, “A 10-GHz global
clock distribution using coupled standing-wave oscillators,” IEEE Jour-
nal of Solid-State Circuits, vol. 38, no. 11, pp. 1813–1820, Nov. 2003.
[7] W. Andress and D. Ham, “Standing wave oscillators utilizing wave-
adaptive tapered transmission lines,” in Proceedings of the Symposium
on VLSI Circuits, June 2004, pp. 50–53.
[8] V. H. Cordero and S. P. Khatri, “Clock distribution scheme using
coplanar transmission lines,” in Proceedings of Design, Automation and
Test in Europe (DATE), Mar. 2008, pp. 985–990.
[9] J. Wood, T. Edwards, and S. Lipa, “Rotary traveling-wave oscillator
arrays: a new clock technology,” IEEE Journal of Solid-State Circuits,
vol. 36, no. 11, pp. 1654–1665, Nov. 2001.
[10] V. Honkote and B. Taskin, “Custom rotary clock router,” in Proceedings
of IEEE International Conference on Computer Design (ICCD), Oct.
2008, pp. 114–119.
[11] V. L. Chi, “Salphasic distribution of clock signals for synchronous
systems,” IEEE Tran. on Computers, vol. 43, no. 5, pp. 597–602, May
1994.
[12] Z. Yu and X. Liu, “Power analysis of rotary clock,” in Proceedings of
the IEEE Computer Society Annual Symposium on VLSI, May 2005, pp.
150–155.
Fig. 9. SPICE simulation results for SOCLB. Frequency variation by 2.40% [13] G. Venkataraman, J. Hu, F. Liu, and C. N. Sze, “Integrated placement
for the capacitance imbalance of k = 4.42 for R1 circuit. and skew optimization for rotary clocking,” in Proceedings of the
Design, Automation and Test in Europe (DATE), Mar. 2006, pp. 756–
761.
loading has a detrimental effect on the oscillation frequency. [14] B. Taskin, J. Wood, and I. S. Kourtev, “Timing-driven physical design
for VLSI circuits using resonant rotary clocking,” in Proceedings of
To prevent a design with the capacitive load imbalance, two the IEEE International Midwest Symposium on Circuits and Systems
novel capacitive balancing methodologies (OCLB and SOCLB) (MWSCAS), Aug. 2006, pp. 261–265.
are devised. The devised methodologies provide the robust [15] Z. Yu and X. Liu, “Design of rotary clock based circuits,” in Proceedings
of IEEE Design Automation Conference (DAC), Jun. 2007, pp. 43 –48.
operation of the rotary clock on the conventional ROA topol- [16] I. S. Kourtev, B. Taskin, and E. G. Friedman, Timing Optimization
ogy. SPICE simulations are performed verifying the robust Through Clock Skew Scheduling, 1st ed. Springer, November 2008.
oscillation characteristics of the rings of the ROA in limiting [17] B. Taskin and I. Kourtev, “A timing optimization method based on clock
skew scheduling and partitioning in a parallel computing environment,”
the frequency variation to 0.30% and 2.40% as compared to in Proceedings of IEEE International Midwest Symposium on Circuits
30.31% in the unbalanced case. SOCLB is proposed as a practi- and Systems (MWSCAS), Aug. 2006, pp. 486–490.
cal implementation of the capacitive balancing scheme, which [18] G. D. Mercey, “A 18GHz rotary traveling wave VCO in CMOS with I/Q
outputs,” in Proceedings of the European Solid-State Circuits Conference
leads to a wirelength improvement of approximately 69.24% (ESSCIRC), Sept. 2003, pp. 489–492.
over the results of optimal OCLB formulation for a frequency [19] Synopsys, HSPICE Signal Integrity User Guide, 2009.
variation of only 2.40%. [20] R. E. Bixby, C. M. Mczeal, and M. W. P. Savelsbergh, “Cplex optimiza-
tion, inc.” 1989-1997.

223

Authorized licensed use limited to: BANGALORE INSTITUTE OF TECHNOLOGY. Downloaded on March 30,2010 at 02:22:32 EDT from IEEE Xplore. Restrictions apply.

Potrebbero piacerti anche