Sei sulla pagina 1di 49

MADANAPALLE INSTITUTE OF TECHNOLOGY AND

SCIENCE
MADANAPALLE-ANAGALLU

DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING


IC APPLICATIONS Lab Manual
DEC-2015

LAB INCHARGE

HOD

JAWAHARLAL NEHRU TECHNOLOGICAL UNIVERSITY


ANANTAPUR
Electronics and Communication Engineering
(13A04505) IC APPLICATIONS LAB
B.Tech III Year-I Semester (E.C.E).
All experiments are based upon 741/TL 082/ALSK Kits.
1. Study the characteristics of negative feedback amplifier
2. Design of an instrumentation amplifier
3. Study the characteristics of regenerative feedback system with extension to design
an astabe multivibrator
4. Study the characteristics of integrator circuit
5. Design of Analog filters-I
6. Design of Analog filters-II
7. Design of a self-tuned filter
8. Design of a function generator
9. Design of a Voltage Controlled Oscillator
10. Design of a Phase Locked Loop
11. Automatic Gain Control(AGC)/Automatic Volume Control(AVC)
12. Design of a low drop out regulator
13. DC-DC Converter

LIST OF EXPERIMENTS
1.
2.
3.
4.
5.
6.
7.
8.
9.
10.

CHARACTERISTICS OF NEGATIVE FEED BACK AMPLIFIER


DESIGN OF AN INSTRUMENTATION AMPLIFIER
CHARACTERISTICS OF REGENERATIVE FEEDBACK SYSTEM
CHARACTERISTICS OF INTEGRATOR CIRCUIT
DESIGN OF ANALOG FILTERS-1: BAND PASS FILTER
DESIGN OF ANALOG FILTERS-2: NOTCH FILTER
DESIGN OF FUNCTION GENERATOR
DESIGN OF A VOLTAGE CONTROLLED OSCILLATOR
DESIGN OF A PHASE LOCKED LOOP
VOLTAGE REGULATOR USING IC 723
ADDITIONAL EXPERIMENTS

11.
12.
13.
14.

ASTABLE AND MONOSTABLE MULTI VIBRATORS USING 555 TIMER


4 BIT DIGITAL TO ANALOG CONVERTER
DESIGN OF ANALOG FILTERS- LPF,HPF
ANALOG TO DIGITAL CONVERTER USING ADC 0804

1. CHARACTERISTICS OF NEGATIVE FEED BACK AMPLIFIER


AIM:Design the following amplifiers:
a) Unity gain amplifier
b) A Non-inverting amplifier with a gain of 11
c) An inverting amplifier with a gain of 10
.
EQUIPMENTS AND COMPONENTS:S.NO NAME OF
EQUIPMENT/COMPONENT
1
OPAMP
2
RESISTOR
3
RESISTOR
4
FUNCTION GENERATOR
5

CATHODE RAY OSCILLOSCOPE

TYPE RANGE

QUANTITY

741
-

1
1
1
1

1KOhm
10KOhm
(03)MHz
(020)MHz

THEORY:
UNITY GAIN AMPLIFIER:
A unity gain amplifier is an electronic amplifier circuit that doesnt amplify. In other
words, it has a gain of 1. The output voltage in a unity gain amplifier is the same as the
input voltage.
Unity gain amplifiers come in two types: voltage followers and voltage inverters.
A follower is a circuit in which the output is exactly the same voltage as the input. An
inverter is a circuit in which the output is the same voltage level as the input but with the
opposite polarity.
Configure an electronic unity follower
The formula for calculating the value of a non-inverting amplifier is this:
To create a unity gain follower, you just omit R2 and connect the output directly to the
inverting input. Because R2 is zero, the value of R1 doesnt matter, because zero divided
by anything equals zero. So R1 is usually omitted as well, and the V input isnt
connected to ground.
Configure an electronic unity inverter
The formula for calculating gain for an inverting amplifier is this:

In this case, all you have to do is use identical values for R1 and R2 to make the amplifier
gain equal to 1. Here is a unity gain inverter circuit using 1 k resistors.

NON INVERTING AMPLIFIER:


The second basic configuration of an operational amplifier circuit is that of a Noninverting Operational Amplifier. In this configuration, the input voltage signal, ( Vin )
is applied directly to the non-inverting ( + ) input terminal which means that the output
gain of the amplifier becomes Positive in value in contrast to the Inverting Amplifier
circuit we saw in the last tutorial whose output gain is negative in value. The result of this
is that the output signal is in-phase with the input signal.
INVERTING AMPLIFIER:
The basic circuit for the inverting op amp circuit is shown below. It consists of a resistor
from the input terminal to the inverting amplifier input of the circuit, and another resistor
connected from the output to the inverting input of the op-amp. The non-inverting input
is connected to ground. As the gain of the op amp itself is very high and the output from
the amplifier is a matter of only a few volts, this means that the difference between the
two input terminals is exceedingly small and can be ignored. As the non-inverting input
of the operational amplifier is held at ground potential this means that the inverting input
must be virtually at earth potential. As a result, this form of amplifier is often known as a
virtual earth amplifier.
CIRCUIT DIAGRAMS:
UNITY GAIN AMPLIFIER:

Fig: Voltage Follower


NON-INVERTING AMPLIFIER:

INVERTING AMPLIFIER:

Fig: Voltage inverter

PROCEDURE:
1. Connect the unity gain amplifier circuit as per the circuit diagram.
2. Apply sine wave of amplitude 2Vp-p to the non inverting input terminal.
3. Vary the input signal frequency.
4. Note down the corresponding output voltage.
5. Calculate gain in db.
6 . Tab u l a t e t h e v a l u e s .
7. Plot a graph between frequency and gain.
8. Connect the non-inverting amplifier circuit as per the circuit diagram.
9. Repeat the steps from2 to 7 for non-inverting amplifier.
10. Connect the inverting amplifier circuit as per the circuit diagram.
11. Repeat the steps from2 to 7 for inverting amplifier
OBSERVATIONS :(Unity gain amplifier)
S.NO

Input frequency
hz

Output
voltage

Gain in db
20 log Vo/Vi

1.
2
3
4.
5.
6.
7.
8.
9.
10
11
12
OBSERVATIONS :(Non-inverting amplifier)
S.NO
1.
2
3
4.
5.
6.
7.
8.
9.
10
11
12

Input frequency

Output
voltage

Gain in db
20 log Vo/Vi

OBSERVATIONS :(( Inverting amplifier)


S.NO

Input frequency

1.
2
3
4.
5.
6.
7.
8.
9.
10
11
12
CALCULATIONSNON-INVERTING AMPLIFIER:
Av=11
AV=1+R2/R1
11=1+ R2/R1
R2=10R1
Choose R1= 1k, then
R2=10 k
INVERTING AMPLIFIER Av=10
AV=-R2/R1
10=- R2/R1
R2=10R1
Choose R1= 1k, then
R2=10 k
MODEL GRAPH:
NON-INVERTING AMPLIFIER:

Output
voltage

Gain in db
20 log Vo/Vi

INVERTING AMPLIFIER

RESULT:

REVIEW QUESTIONS:
1. Explain the need for unity gain amplifier
2. Advantages of Op-amp based amplifiers compare to BJT amplifiers
3. Mention the applications for inverting and non-inverting amplifiers
4. Give the significance of gain- band width product

2. DESIGN OF AN INSTRUMENTATION AMPLIFIER


AIM:
Design an instrumentation Amplifier of differential mode gain of 8 using 3 opamps.
EQUIPMENTS AND COMPONENTS:
S.NO NAME OF
EQUIPMENT/COMPONENT
1
OPAMP
2
RESISTOR
3
RESISTOR
4
CATHODE RAY OSCILLOSCOPE
5

FUNCTION GENERATOR

TYPE RANGE

QUANTITY

741
-

2
3
4
1

5KOhm
10KOhm
(020)MHz
(03)MHz

THEORY:
Instrumentation amplifier is a kind of differential amplifier with additional input buffer
stages. The addition of input buffer stages makes it easy to match (impedance matching)
the amplifier with the preceding stage. Instrumentation are commonly used in industrial
test and measurement application. The instrumentation amplifier also has some useful
features like low offset voltage, high CMRR (Common mode rejection ratio), high input
resistance, high gain etc. The circuit diagram of a typical instrumentation amplifier using
opamp is shown below.
A circuit providing an output based on the difference between two inputs (times a
scale factor) is given in the above figure. In the circuit diagram, opamps labelled A1 and
A2 are the input buffers. Anyway the gain of these buffer stages are not unity because of
the presence of R1 and Rg. Op amp labelled A3 is wired as a standard differential
amplifier. R3 connected from the output of A3 to its non-inverting input is the feedback
resistor. R2 is the input resistor. The voltage gain of the instrumentation amplifier can be
expressed by using the equation below.
Voltage gain (Av) = Vo/(V2-V1) = (1 + 2R1/Rg ) x R3/R2
CIRCUIT DIAGRAM:

PROCEDURE:
1. Connect the circuit as per the circuit diagram.
2. Apply sine wave of amplitude 2Vp-p to the non inverting input terminal.
3. Vary the input signal frequency.
4. Note down the corresponding output voltage.
5. Calculate gain in db.
6 . Tab u l a t e t h e v a l u e s .
7. Plot a graph between frequency and gain.
OBSERVATIONS :(Unity gain amplifier)
S.NO

Input frequency
hz

Output
voltage

Gain in db
20 log Vo/Vi

1.
2
3
4.
5.
6.
7.
8.
9.
10
11
12
CALCULATIONS:
(Av) = Vo/(V2-V1) = (1 + 2R1/Rg ) x R3/R2
=(1+(2*10/5))*(10/5)
=8
MODEL GRAPH:

RESULT:

REVIEW QUESTIONS:
1. Explain the need for 2 stages in any Instrumentation amplifier?
2. Why CMRR is high for instrumentation amplifiers?
3. Give some examples for low voltage, low frequency and high output impedance
signals
4. How does the tolerances of resistors affect the gain of the instrumentation amplifier?

3. CHARACTERISTICS OF REGENERATIVE FEEDBACK SYSTEMWITH


EXTENSION TO DESIGN AN ASTABLE MULTIVIBRATOR
AIM:
Design and Test the following
a) Schmitt trigger for VUT=2.45V and VLT= -2.45V
b) Astable multivibrator for f=1 KHz

EQUIPMENTS AND COMPONENTS:


S.NO NAME OF
EQUIPMENT/COMPONENT
1
OPAMP
2
RESISTOR
3
RESISTOR
4
RESISTOR
5
CAPACITOR
7
CATHODE RAY OSCILLOSCOPE
8

FUNCTION GENERATOR

TYPE RANGE

QUANTITY

741
-

1
1
2
1
1
1

5KOhm
10KOhm
47KOhm
0.1uf
(020)MHz
(03)MHz

THEORY:
SCHMITT TRIGGER:
Schmitt Trigger is a Comparator and it is known as Squaring circuit because it converts an
irregular shaped waveform to a square wave. The output voltage changes its state every time
when input voltage crosses the threshold level. The input voltage at which the output switches
from +Vsat to -Vsat is called the Upper Triggering Point (UTP). The input voltage at which
output switches from -Vsat to +Vsat is called Lower Triggering Point (LTP).
The Triggering or Threshold voltages are obtained by the voltage divider R1-R2.
Suppose the output voltage is +Vsat. Now the Voltage across R2 is Vutp. Which is equal to
+VsatR2/(R1+R2). When the input Voltage exceeds the voltage across resistor R2, output goes to
-Vsat. Now the voltage across R2 is Vltp. Which is equal to -VsatR2/(R1+R2). When the input
voltage goes lower than this voltage, output goes to +Vsat.

ASTABLE MULTIVIBRATOR:
The Operational Amplifier or Op-amp for short, is a very versatile device that can be used in a
variety of different electronic circuits and applications, from voltage amplifiers, to filters, to
signal conditioners. But one very simple and extremely useful op-amp circuit based around any
general purpose operational amplifier is the Astable Op-amp Multivibrator.
The Op-amp Multivibrator is an astable oscillator circuit that generates a rectangular
output waveform using an RC timing network connected to the inverting input of the operational
amplifier and a voltage divider network connected to the other non-inverting input.

In the op-amp multivibrator circuit the op-amp works as an analogue comparator.


An op-amp comparator compares the voltages on its two inputs and gives a positive or
negative output depending on whether the input is greater or less than some reference
value, Vref.
However, because the open-loop op-amp comparator is very sensitive to the
voltage changes on its inputs, the output can switch uncontrollably between its positive,
+V(sat) and negative, -V(sat) supply rails whenever the input voltage being measured is
near to the reference voltage, Vref.

CIRCUIT DIAGRAMS:
SCHMITT TRIGGER:

ASTABLE MULTIVIBRATOR:

PROCEDURE:
SCHMITT TRIGGER:
1. Connect the circuit as per the circuit diagram
2. Apply Sine wave of 10 VP-P as an input
3. Observe the output wave form and calculate the VUT and VLT
4. Compare practical values with theoretical values
5. Draw the graph for input and output waveforms
ASTABLE MULTIVIBRATOR:
1. Connect the circuit as per the circuit diagram
2. Observe the output wave form
3. Calculate frequency of output waveform and compare it with theoretical value.
4. Draw the graph output waveforms
THEORITICAL CALCULATIONS:
SCHMITT TRIGGER:
VUT=VSAT(R2/R1+R2)=2.45V
VLT= - VSAT(R2/R1+R2)
Choose R2=10K,
Then R1=47 K.
ASTABLE MULTIVIBRATOR:
t=2RC ln(1+/ 1-)
=R1/R1+R2
If R1=R2, then ln(1+/ 1-)=1 and t= 2RC
f=1/2RC
Choose C=0.1F, R1=R2=10K then R=1/2fC=5K
GRAPH:SCHMITT TRIGGER:

ASTABLE MULTIVIBRATOR:

RESULT:

REVIEW QUESTIONS:
1. Discuss the difference between astable and Bi-stable multivibrator
2. Discuss the frequency limitation of astable multivibrator
3. Discuss the various applications of astable multivibrator

4. CHARACTERISTICS OF INTEGRATORNCIRCUIT

AIM:ToverifyIntegratorusingIC741opamp.
COMPONENTSREQUIRED:

Name of the
Component/Equipment
IC 741
Capacitors
Resistors
Regulated Power Supply
Function Generator
Cathode Ray Oscilloscope

Specifications

Quantity

Refer Appendix A
0.1f,

1
1

159, 1.5k
(0 30V),1A
(0.1 1MHz), 20V p-p
(0 20MHz)

Each one
2
1
1

THEORY:
Integrator: Inanintegratorcircuit,theoutputvoltageistheintegrationoftheinput
voltage.Theoutputvoltageofanintegratorisgivenby Vo=1/R1CfVidt.
Atlowfrequencies thegainbecomesinfinite,sothecapacitorisfully
chargedandbehaveslikeanopencircuit.Thegainofanintegratoratlow
frequencycanbelimitedbyconnectingaresistorinshuntwithcapacitor.
CIRCUIT DIAGRAM:

PROCEDURE:
1.Connectthecircuitasperthediagramshown
2.Applyasquarewave/sineinputof4V(pp)of1KHz
3.Observetheo/patpin6.
4.Drawinputandoutputwaveformsasshown.

5.Observethattheoretical&practicalvaluesareequal.
CALCULATIONS(Theoretical):
ChooseT=2RfCf
WhereT=Timeperiodoftheinputsignal
AssumeCfandfindRf
SelectRf=10R1
t/2

Vo(pp)=1/R1CfVi(pp)dt
0

GRAPH:-

RESULT:

REVIEW QUESTIONS:
1. Compare output with that of ideal integrator
2. How will you design differentiator and mention its drawback
3. Discuss the limitation of the output voltage of the integrator
4. How will you obtain drift compensation in an inverting integrator?

5. DESIGN OF ANALOG FILTERS-1: BAND PASS FILTER


AIM:
Design a second order butter worth Band pass filter for f L= 400Hz and fH=2 KHz.

EQUIPMENTS AND COMPONENTS:

S.NO NAME OF
EQUIPMENT/COMPONENT
1
OPAMP
2
RESISTOR
3
RESISTOR
4
RESISTOR
5
CAPACITOR
6
CATHODE RAY OSCILLOSCOPE
7

FUNCTION GENERATOR

TYPE

RANGE

QUANTITY

741
-

39.7KOhm
10Kohm
7.95Kohm
0.01uf
(020)MHz

2
2
2
2
2
1

(0.1
1MHz),
20V pp
1

THEORY:
A band-pass filter is a circuit which is designed to pass signals only in a certain band of
frequencies while attenuating all signals outside this band. The parameters of importance in a
band pass filter are the high and low cut-off frequencies (f H and fl), the bandwidth (BW), the
center frequency fc, center-frequency gain, and the selectivity or Q.

There are basically two types of band pass filters viz. wide band pass and narrow
band pass filters. Unfortunately, there is no set dividing line between the two. However, a
band pass filter is defined as a wide band pass if its figure of merit or quality factor Q is
less than 10 while the band pass filters with Q > 10 are called the narrow band pass
filters.
CIRCUIT DIAGRAM:
HPF

LPF

PROCEDURE:
1. Connections are made as per the circuit diagram.
2. Apply sine wave of amplitude 2Vp-p to the non-inverting input terminal.
3. Values the input signal frequency.
4. Note down the corresponding output voltage.
5. Calculate gain in db.

6 . Tab u l a t e t h e v a l u e s .
7. Plot a graph between frequency and gain.
8. Identify stop band and pass band from the graph.
OBSERVATIONS:
S.NO

Input frequency

Output
voltage

1.
2
3
4.
5.
6.
7.
CALCULATIONSChoose Rf= 10k,C=0.01f
Then Av1=1+Rf/R and Av2=1+Rf/R
AV= Av1* Av2=4
HPF:
Choose a standard value of Capacitor C say 0.01 F
Then fL=1/2RC
R=1/2 fL C =39.7k
LPF:
Choose a standard value of Capacitor C say 0.01 F
Then FH=1/2RC
R=1/2 fH C=7.95K

MODEL GRAPH:

Gain in db
20 log Vo/Vi

RESULT:

REVIEW QUESTIONS:
1. Discuss the effect of order of the filter on frequency response
2. How will vary Q factor of the frequency response
3. Discuss the need for going to Sallen key circuit
Compare the performance of Butter worth filter with that of Chebyshev filter

6. DESIGN OF ANALOG FILTERS-2: NOTCH FILTER


AIM:
Design and test a Notch filter to eliminate the 50Hz power line frequency

EQUIPMENTS AND COMPONENTS:


S.NO NAME OF
EQUIPMENT/COMPONENT

TYPE RANGE

QUANTITY

1
2
3
4
5
6
7

OPAMP
RESISTOR
RESISTOR
RESISTOR
CAPACITOR
CAPACITOR
CATHODE RAY OSCILLOSCOPE

741
-

32KOhm
10KOhm
16KOhm
0.1uf
0.2uf
(020)MHz

2
2
1
1
2
2
1

THEORY:

Operational amplifiers can be used to make notch filter circuits. Here we show two, a
standard notch filter circuit, and another for a twin T notch filter circuit.
A notch filter is used to remove a particular frequency, having a notch where
signals are rejected. Often they are fixed frequency, but some are able to tune the notch
frequency.
Having a fixed frequency, this operational amplifier, op amp, notch filter circuit may find
applications such as removing fixed frequency interference like mains hum, from audio
circuits.
CIRCUIT DIAGRAM:

PROCEDURE:
1. Connections are made as per the circuit diagram.
2. Apply sine wave of amplitude 2Vp-p to the non-inverting input terminal.
3. Values the input signal frequency.
4. Note down the corresponding output voltage.
5. Calculate gain in db.
6 . Tab u l a t e t h e v a l u e s .

7. Plot a graph between frequency and gain.


8. Identify stop band and pass band from the graph.
OBSERVATIONS :
S.NO

Input frequency

Output
voltage

1.
2
3
4.
5.
6.
7.
CALCULATIONS:
FN=50Hz=1/2RC
Choose a standard value of Capacitor C say 0.1 F
R=1/2fN C=32k
Let RL=10 k
MODEL GRAPH:

RESULT:

REVIEW QUESTIONS:

Gain in db
20 log Vo/Vi

1. Explain the effect of supply frequency interference while amplifying sensor signals
2. Suggest the method for adjusting the Q factor of the frequency response of notch filter
3. What is the purpose of going for Twin T Notch filter circuit

7. FUNCTION GENERATOR USING 741 OP-AMP


AIM: To generate triangular and square wave forms and to determine the time period and frequency of
the waveforms.
EQUIPMENTS AND COMPONENTS:
S.NO NAME OF
EQUIPMENT/COMPONENT

TYPE RANGE

QUANTITY

1
2
3
4
5
7

OPAMP
RESISTOR
RESISTOR
RESISTOR
CAPACITOR
CATHODE RAY OSCILLOSCOPE

741
-

15KOhm
10KOhm
1KOhm
0.1uf
(020)MHz

2
1
1
1
1
1

THEORY:
Function generator is a signal generator that produces various specific waveforms for test
purposes over a wide range of frequencies. In laboratory type function generator
generally one of the functions (sine, triangle, etc.) is generated using dedicated chips or
standard circuits and converts it in to required signal.
Square wave from the zero crossing detector is fed to the integrator using op-amp.
RC time constant of the integrator has been chosen in such a way it is a small value
compared to time period of the incoming square wave. As you knew the operation of
integrator, the output of the integrator is a triangle wave we feed square wave input.
The triangular wave output of the second op amp is then fed into the third op amp, which
is also configured as an integrator. The output of the third op amp is a sine wave (the
integral of a triangular wave).
CIRCUIT DIAGRAM:

PROCEDURE:
1. The circuit is connected as shown in the figure.
2. The output of the comparator is connected to the CRO through channel1, to generate a
square wave.
3. The output of the comparator is applied to integrator and is connected to the
CRO through channel2, to generate a triangular wave.
4. The time periods of the square wave and triangular waves are noted and they are found
to be equal.

THEORITICAL CALCULATIONS:
T=4R1R2C1/R3
=4*15k*1k*0.1 f/10k
=0.6ms
f = R3/4R1R2C1
=10k/4*15k*1k*0.1 f
=1.6 khz
Vsat=Vcc-2v
=12-2=10v
+Vramp=R2/R3Vsat
=(1k/10k)10
=1.0v
-Vramp= - R2/R3Vsat
=(1k/10k)-10
=-1.0v
PRACTICAL VALUES:
Time period of triangular wave =0.5 ms
Time periods of square wave =0.5 ms
Positive peak ramp =1.2v
Voltage of square wave =11v
GRAPH:-

RESULT:
.
REVIEW QUESTIONS:
1. Define integrator?
2. Write about triangular wave generator?
3. Derive equation for output frequency of triangular wave?

4.
5.
6.
7.

Define function generator?


Write some applications of function generator?
What is the function of function generator?
Draw the block diagram of function generator?

8 . DESIGN OF A VOLTAGE CONTROLLED OSCILLATOR

AIM: To operate the NE/SE 566 as Voltage Controlled Oscillator and to find the
frequencies for various values of R 1 and C 1.
EQUIPMENTS AND COMPONENTS:

NAME OF
EQUIPMENT/COMPONENT
ASLK trainer kit

TYPE RANGE
-

QUANTITY
1

THEORY:
Voltage Controlled Oscillator is also called as voltage to frequency converter. It provides the simultaneous square wave
and triangular wave output. The frequency of output wave is the function of input voltage, hence the name Voltage
Controlled Oscillator.Output frequency is also the function of external resistor R1 and capacitor C1
The output frequency f o is given by,
f o=2(V-Vc)/R1C1V
Where 3/4V<=Vc<V; 2K<R1< 20 K
The triangular wave is generated by alternately charging the external capacitor C1byone current source and then linearly
discharging it by another. The charge discharge levels are determined by Schmitt trigger action. The external
modulating signal is ac coupled with capacitor C at the pin 5. Now the output frequency is varied
according to the amplitude of this applied modulating voltage. VCO is commonly used in converting low-frequency
signals such as electroenphalograms (EEG) or electrocardiograms (ECG) into an audio frequency range signals, which
can be transmitted over telephone lines or two way radio communications for diagnostic purposes.
CIRCUIT DIAGRAM:

PROCEDURE:
1. Connections are made as per the circuit diagram.
2. Measure the output voltage and frequency of both triangular and squares.
3. Vary the values of R1and C1and measure the frequency of the waveforms.
4. Compare the measured values with the theoretical values.
OBSERVATIONS:
R1

C1

Output Voltage (V)


Square
wave

triangular
wave

Theoretical
Frequency(KHz)

Practical
Frequency(KHz)

MODEL GRAPH:

RESULT:
REVIEW QUESTIONS:
1. What are the applications of VCO?
2. What is the need of connecting 0.001F capacitor between pin 5 and pin 6?
3. What is time maker generator?
4. What are the differences between ideal and practical comparator?
5. What are the applications of comparator?
6. In which mode the operational amplifier is connected in the comparator circuit.

9. DESIGN OF A PHASE LOCKED LOOP

AIM:

To construct and study the operation of PLL IC565 and determine its Characteristics.
APPARATUS REQUIRED:

S.No

Components

Range

Quantity

1
2
3

IC 565
Resistors
Capacitors

4
5
6

FunctionGenerator (Digital)
C.R.O
Dual Power Supply

6.8 K
0.001 F
0.1 F, 1 F
1 Hz 2 MHz
0- 30 V

1
1
1 each
1
1
1

CIRCUIT DIAGRAM:

+6V

R1
10

Function
Generator
(Square
Wave)
Vi Input

6.8 K
8

C1 =

7
2
6
3
IC 565 4
9
1 5

C = 1 F

0.01 F
Demodulated O/p
Reference O/p
VCO O/p (fO)

= 0.001 F

CT
6V

47

PROCEDURE:
1. The connections are given as per the circuit diagram.
2. Measure the free running frequency of VCO at pin 4, with the input signal V i set
equal to zero. Compare it with the calculated value = 0.25 / (RT CT).
3. Now apply the input signal of 1 V PP square wave at a 1 KHz to pin 2. Connect one
channel of the scope to pin 2 and display this signal on the scope.
4. Gradually increase the input frequency till the PLL is locked to the input frequency.
This frequency f1 gives the lower end of the capture range. Go on increasing the
input frequency, till PLL tracks the input signal, say, to a frequency f2.This frequency
f2 gives the upper end of the lock range. If input frequency is increased further, the
loop will get unlocked.
5. Now gradually decrease the input frequency till the PLL is again locked. This is the
frequency f3, the upper end of the capture range. Keep on decreasing the input
frequency until the loop is unlocked. This frequency f4 gives the lower end of the
lock range.
6. The lock range fL = (f2 f4).Compare it with the calculated value
Of 7.8 fo / 12 .Also the capture range is fc = (f3 f1).Compare it with the
calculated value of capture range.
fc

(fL / (2)(3.6)(10 ) C)

1/2

CALCULATIONS:
F0=0.25 / (RT CT
fL=7.8 fo / 12
3

fC= (fL / (2)(3.6)(10 ) C)


fL =2fL
fc =2fc

1/2

GRAPH:
vc

Slope =1/Kv
fo- fL

fo- fc
fo
2fc= Capture
range
fL = Lock- in range

fo+ fc fo+fL IB

RESULT :

REVIEW QUESTIONS:
1) Draw the block diagram of a PLL based divider and multiplier and explain the
functions performed by each block
2) Distinguish between Lock range and Capture range, explain the method of estimating
the same for a given PLL
3) Discuss the differences between analog PLL and digital PLL

10. VOLTAGE REGULATOR USING IC723


AIM:To study the operation of IC723 voltage regulator
EQUIPMENTS AND COMPONENTS:S.NO NAME OF
EQUIPMENT/COMPONENT
1
REGULATOR
2
RESISTOR
3
RESISTOR
4
CAPACITOR
5
VARIABLE RESISTOR
6

SEMICONDUCTOR TRAINER
KIT

TYPE

RANGE

QUANTITY

LM723
-

7.5KOhm
3.9KOhm
100pf
(010)KOhm
-

1
2
1
1
1

THEORY:The three-terminal regulators have the following limitations


1. No short-circuit protection
2. Output voltage (+ve or ve) is fixed
These limitations have been overcome in 723 general purpose regulator. This IC is
inherently low current device but can be boosted to provide 5 amps or more current by
connecting external components. The limitation of 723 is that it has no in-built thermal
protection. It also has no short-circuit current limits. The IC723 has two sections. The
first section consists of Zener Diode constant current source and a reference amplifier.
The other section of the IC consists of an error amplifier series pass transistor and a
current limit transistor. This is a 14-pin DIP package. The main Features of 723 include
an input voltage of 40v max, output voltage is adjustable from 2V to 37V, 150 mA output
current without external pass resistor, can be used as either a linear or a switching
regulator.
A voltage regulator is a circuit that supplies constant voltage regardless of changes in load currents. Except
for the switching regulators, all other types of regulators are called linear regulators. IC LM 723 is general
purpose regulator. The input voltage of this 723 IC is 40 V maximum. Output voltage adjustable from 2V
to 30V. 150mA output current external pass transistor. Output current in excess
of 10Ampere possible by adding external transistors. It can be used as either a linear or a switching
regulator. The variation of DC output voltage as a function of DC load current is called regulation.
% Regulation =[(Vnl - Vfl) / Vfl]*100

CIRCUIT DIAGRAM

PROCEDURE:
1. LINE REGULATION:
1. Connections are made as per the circuit diagram.
2. Power supply is connected to 12 and 7 terminals.
3. Volt meter is connected to 10 and 7 terminals.
4. By increasing the input voltage corresponding volt meter reading is noted.
2. LOAD REGULATION:
1. Connect the load to the terminals 10 and GND.
2. Keep the input voltage constant at which line regulation is obtained
3. The maximum load value is calculated from IC ratings.
4. Now, we decrease the load resistance and note down the corresponding value of the output in volt meter.
5. Plot the graph for load verses load regulation.
. OBSERVATIONS:
(1).LINE REGULATION:
Vnl=14.13V
Line Voltage(V)
2
3
4
5
6
7.5
8.1
10
12

Output Voltage(V)
0.77
1.71
2.76
3.7
4.72
6.18
6.74
8.68
10.66

13.5
14
15
15.4
15.6
16.0
17.4
20.6
21
24
25

12.16
13.66
14.01
14.11
14.12
14.13
14.13
14.13
14.13
14.13
14.13

(2). LOAD REGULATION:


Regulated
Output(Vo)
14.07
14.07
14.07
14.09
14.10
14.10
14.10
14.11
14.11
14.11

Load
Current(mA)
13.6
7.8
5.2
3.9
3.1
2.6
2.2
2.0
1.7
1.6

Load
Resistance(K)
1.1
2.0
3.0
4.0
5.0
6.0
7.0
8.0
9.0
9.7

%REGULATION = [(Vnl-Vfl)/Vfl]*100
GRAPH:

Load Regulation
0.42
0.42
0.42
0.283
0.212
0.212
0.121
0.141
0.141
0.141

RESULT:

REVIEW QUESTIONS:
1. What is the maximum input voltage that we can give to 723 regulator?
2. What output voltage range we can obtain from 723 regulator?
3. What is the output current in case of 723 regulator?
4. What are the applications of 723 regulator?
5. Define line regulation?
6. Define load regulation?
7. Define ripple rejection?
8. Define long term stability?
9. What is the current limit protection?
10. What are the ideal values of load and line regulations?

11. IC 5 55 TIMER- AS TABLE OPERATION CIRCU IT


AIM:To construct and study the operation of astable multivibrator using 555 timer.
EQUIPMENTS AND COMPONENTS:S.NO NAME OF
EQUIPMENT/COMPONENT
1
TIMER
2
RESISTOR
3
RESISTOR
4
CAPACITOR
5
CAPACITOR
6
SEMICNDUCTOR TRAINER KIT
7
CATHODE RAY OSCILLOSCOPE

TYPE RANGE

QUANTITY

555
-

1
1
1
1
1
1
1

1.2KOhm
1.7KOhm
0.1uf
0.01uf
(020)MHz

THEORY:
The 555 timer can be used with supply voltage in the range of + 5 v to + 18 v and can
drive upto 200 mAmps. It is compatible with both TTL and CMOS logic circuits because
of the wide range of supply voltage the 555 timer is versatile and easy to use in the
astable multivibrator. The timer is oscillated between two threshold levels 1/3 Vcc and
2/3 Vcc in order to generate a square wave form. No external signal source is required for
such generation and hence this is called as a free running multivibrator.

If Vsat >> Vp and R1=R2 and = 0.5,Then, T = 0.69RC

Astable Multivibrator:
An astable multivibrator has 2 quasi stable states and it keeps on switching between these
2 stages. No external is needed. It can remain indefinitely in any of these 2 stages.
The output of astable multivibrator is a square wave of period T. Since the multivibrator
generates square. Due to this phenomena the multivibrator can be named as square wave
generator (or) square wave oscillator (or) relaxation oscillator (or) free running
multivibrator. charges from 1/3 Vcc to 2/3 Vcc is equal to the time the output remains high
and is given by
tc =0.69(R1+R2)C1

where RA and RB are in ohms and C is in Farads. Similarly the time during
which the capacitor discharges from 2/3 Vcc to 1/3 Vcc is equal to the time the
output is low and is given by

td =0.69R2 C1

Thus the total time period of the output waveform is


T = tc+ td=0.69(R1+2R2) C1

Therefore the frequency of oscillation


F= 1/T = 1.45/0.69(R1+2R2) C1

The output frequency, f is independent of the supply voltage Vcc.


CIRCUIT DIAGRAM:-

PROCEDURE:
1. Connections are made as per the circuit diagram.
2. Pins 4 and 8 are shorted and connected to power supply Vcc (+5V)
3. Between pins 8 and 7 resistor R1 of 1.2K is connected and between 7 and 6 resistor R2 of 1.7K
is connected. Pins 2 and 6 short circuited.
4. In between pins 1 and 5 a Capacitor of 0.01F is connected.
5. The output is connected across the pin 3 and GND.
6. In between pins 6 and GND a Capacitor of 0.1F is connected.
7. Theoretically without diode charging time Tc is given by Tc=0.69(R 1+R2) C1, Discharging time Td is
given by Td= 0.69R2C1The frequency f is given by f= 1.45/(R1+2R2)C1% of Duty cycle is (Tc/
(Tc+Td))*100
8. Practically Td and Tc are measured and wave forms are noted and theoretical values are verified with
practical values
9. Practically Td and Tc are noted and verified with theoretical values.

OBSERVATIONS:1.tc = ton = 0.69 (R1 + R2) C1


=0.691(1.2k+1.7k)x0.01x10-6
ton=0.2ms
2.tOFF = td= 0.69 R2 C1
toff=0.691(1.7k) 0.01x10-6
=0.17ms
% Dutycycle = tC/ tC+td x100
0.2/0.37x100=54.05%
. Design of astable multivibrator to produce 1 KHz output waveform with adjustable
duty cycle of 10% to 90%
The circuit is as shown in Fig. 2
During the charging period, the diode D is forward biased, R2 is bypassed
Hence ton = 0.69 R1C.
During the discharge period, the discharging transistor is shorted (ON) and the diode
D is reverse biased.
Hence tOFF = 0.69R2 C
Output frequency f= 1 KHz is assumed.
MODELGRAPH:

RESULT: Output waveforms of astable multivibrator is observed and the duty cycle is
calculated
REVIEW QUESTIONS:
1Explain the functional block diagram of a 555 timer
2. Explain the function of reset
3. What are the modes of operation of timer?
4. What is the expression of time delay of an astable multivibrator?
5. Discuss some applications of timer in astable mode?
6. Define duty cycle?
7. Give methods of obtaining symmetrical waveform?
8. How is an astable multivibrator connected into a pulse position modulator?
9. How Schmitt trigger circuit is constructed using 555 timer?

555 TIMER-MONOSTABLE MULTI-VIBRATOR


AIM: To construct and study the operation of a monostable multivibrator using 555 IC timer.
EQUIPMENTS AND COMPONENTS:
S.NO NAME OF
EQUIPMENT/COMPONENT
1
TIMER
2
RESISTOR
3
CAPACITOR
4
CAPACITOR
5
SEMICNDUCTOR TRAINER KIT
6
CATHODE RAY OSCILLOSCOPE
7

FUNCTION GENERATOR

TYPE RANGE

QUANTITY

555
-

1
1
1
1
1
1

10KOhm
0.1uf
0.01uf
(020)MHz
(03)MHz

THEORY:The 555 timer can be used with supply voltage in the range of +5 v to +18 v and can
drive upto 200 mAmps. It is compatible with both TTL and CMOS logic circuits because
of the wide range of supply voltage the 555 timer is versatile and easy to use in
monostable multivibrator we will provide external triggering in order to make the timer
to switch over to high state (unstable). This is also called as one-short multivibrator.
MONOSTABLE MULTIVIBRATOR:
Monostable multivibrator is also known as triangular wave generator. It has one stable and one quasi stable
state. The circuit is useful for generating single output pulse of time duration in response to a triggering
signal. The width of the output pulse depends only on external components connected to the op-amp. The
diode gives a negative triggering pulse. When the output is +Vsat, a diode clamps the capacitor voltage to
0.7V. then,a negative going triggering impulse magnitude Vi passing through RC and the negative
triggering pulse is applied to the positive terminal. Let us assume that the circuit is instable state. The output
V0i is at +Vsat. The diode D1 conducts and Vc the voltage across the capacitor C gets clamped to
0.7V.the voltage at the positive input terminal through R1R2 potentiometer divider is +Vsat. Now, if a
negative trigger of magnitude Vi is applied to the positive terminal so that the effective signal is less than
0.7V.the output of the Op-Amp will switch from +Vsat to Vsat. The diode will now get reverse biased
and the capacitor starts charging exponentially to Vsat. When the capacitor charge Vc becomes slightly
more negative than Vsat, the output of the op-amp switches back to +Vsat. The capacitor C now starts
charging to +Vsat through R until Vc is 0.7V.
V0= Vf +(Vi-Vf ) -t/RC
= R2/(R1+R2)
If Vsat>> Vp and R1=R2
and = 0.5,Then, T = 0.69RC

CIRCUIT DIAGRAM:PROCEDURE:
1. Connections are made as per the circuit diagram.
2. Negative triggering is applied at the terminal 2.
3. The output voltage is measured by connecting the channel-1 at pin3.
4. The output voltage across capacitor is measured by connecting the channel-2 at the point
5. Theoretically the time period is calculated by T= 1.1R 1C1where R1= 10K
C1=0.1F.
6. Practically the charging and discharging timers are measured and theoretical value of
time period is measured with practical value
OBSERVATIONS:
1. TP = 1.1 R1C1
=1.1x10x103 x0.1 x10-6
=1.1ms
MODEL GRAPH:

RESULT:

REVIEW QUESTIONS:
1. Explain the functional block diagram of a 555 timer
2. Explain the function of reset
3. What are the modes of operation of timer?
4. What is the expression of time delay of a monostable multivibrator?
5. Discuss some applications of timer in monostable mode.
6. Define duty cycle
7. Give methods of obtaining symmetrical waveform.
8. How is a monostable multivibrator connected into a pulse position modulator?
9. How Schmitt trigger circuit is constructed using 555 timer
10. Draw the pin diagram of 555 timer.

12. 4 BIT D/A CONVERTER

AIM:
To construct a 4-bit R 2 R ladder type D/A converter. Plot the transfer characteristics,
that is, binary input vs output voltage. Calculate the resolution and linearity of the
converter from the graph.
EQUIPMENTS AND COMPONENTS:
S.NO NAME OF
EQUIPMENT/COMPONENT
1
OPAMP
2
RESISTOR
3
RESISTOR
5
SEMICNDUCTOR TRAINER KIT

TYPE RANGE

QUANTITY

741
-

1
4
6
1

10KOhm
22KOhm
-

THEORY:
Most of the real world physical quantities such as voltage current temperature pressure
are available in analog form. It is very difficult to process the signal in analog form,
hence ADC and DAC are used. The DAC is to convert digital signal into analog and
hence the functioning of DAC is exactly opposite to that of ADC. The DAC is usually
operated at the same frequency as the ADC. The output of the DAC is commonly
staircase. This staircase like digital output is passed through a smoothing filter to reduce
the effect of quantization noise. There are three types of DAC techniques (i) Weighted
resistor DAC (ii) R-2R ladder. (iii) Inverted R-2R ladder. Wide range of resistors is
required in binary weighted resistor type DAC. This can be avoided by using R-2R ladder
type DAC where only two values of resistors are required it is well suited for integrated
circuit realization.
CIRCUIT DIAGRAM:-

(OR)

PROCEDURE:
1. Set up the circuit shown in Fig.
2. With all inputs (d0 to d3) shorted to ground. This will nullify any offset voltage at the
input of the op-amp.
3 .Measure the output voltage for all binary input states (0000 to 1111) and plot a
Graph of binary inputs vs output voltage.
4. .Measure the size of each step and hence calculate resolution
5. Calculate linearity.
OBSERVATIONS:

D3 D2 D1
0
0
0
0
0
0
0
0
1
1
1
1
1
1
1
1

0
0
0
0
1
1
1
1
0
0
0
0
1
1
1
1

0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1

0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1

R-2R LADDER DAC


THEORITICAL(V)
0
0.937
1.875
2.812
3.75
4.68
5.625
6.562
7.5
8.437
9.375
10.312
11.25
12.1875
13.125
14.062

CALCULATIONS:
V0= -Vref[Do/2^1+D1/2^2+D2^3/2+D/2^4]

PRACTICAL(V)
0
0.86
1.73
2.55
3.97
5.06
6.05
6.94
7.77
9.03
9.95
10.53
11.74
12.53
13.92
14.32

GRAPH:-

RESULT:-

REVIEW QUESTIONS:
1. Classify DAC on the basis of their output?
2. Name the essential parts of a DAC?
3. What is meant by accuracy of DAC?
4. How many resistors are required in 12 bit weighted resistor DAC?
5. Why is an inverted R-2R ladder network DAC is better than R-2R ladder DAC?
6. Define resolution?
7. Define linearity?
8. Define monotonicity?
9. Define step size?
10. Define settling time?

13.ACTIVEFILTERSLPF,HPF
AIM:Toobtainthefrequencyresponseof
i)SecondorderLowPassFilter(LPF)wherecutofffrequencyis2Khz
ii)SecondorderHighPassFilter(HPF)wherecutofffrequencyis1Khz.
COMPONENTSREQUIRED:
Nameofthe
Component/Equipment

Specifications

Quantity

IC741

ReferAppendixA

Resistors

10k

Resistors
Capacitors

8.2k
0.01f

2
2

CathodeRayOscilloscope

(020MHz)

RegulatedPowerSupply

(030V),1A

FunctionGenerator

(1Hz1MHz)

BreadBoard

Probes&Connectingwires
THEORY:
a)LPF:
ALPFallowsfrequenciesfrom0tohighercutoffrequencyf H.AtfH thegainis
0.707 Amax, and after fH gain decreases at a constant rate with an increase in
frequency.Thegaindecreases40dBeachtimethefrequencyisincreasedby10.
Hencetherateatwhichthegainrollsoffafterf His40dB/decadeor12dB/octave,
where octave signifies a two fold increase in frequency. The frequency f=f H is
calledthecutofffrequencybecausethegainofthefilteratthisfrequencyisdown
by 3 dB from 0 Hz. Other equivalent terms for cutoff frequency are 3dB
frequency,breakfrequency,orcornerfrequency.
b)HPF:
Thefrequencyatwhichthemagnitudeofthegainis0.707timesthemaximum
valueofgainiscalledlowcutofffrequency.Obviously,allfrequencieshigherthan
fL arepassbandfrequencieswiththehighestfrequencydeterminedbytheclosed
loopbandwidthalloftheopamp.

CIRCUIT DIAGRAMS:
LOW PASS FILTER:

HIGH PASS FILTER:

PROCEDURE:
1. Connections are made as per the circuit diagram.
2. Apply sine wave of amplitude 2Vp-p to the non-inverting input terminal.
3. Values the input signal frequency.
4. Note down the corresponding output voltage.
5. Calculate gain in db.
6 . Tab u l a t e t h e v a l u e s .
7. Plot a graph between frequency and gain.
8. Identify stop band and pass band from the graph.
OBSERVATIONS: (LPF)
S.NO

Input frequency

Output
voltage

Gain in db
20 log Vo/Vi

Output
voltage

Gain in db
20 log Vo/Vi

1.
2
3
4.
5.
6.
7.
OBSERVATIONS: (HPF)
S.NO
1.
2
3
4.
5.
6.
7.

Input frequency

CALCULATIONSLPF:
=3-AV
For butter worth filter =1.414
So, AV=3-1.414=1.586
Av=1+Rf/R
Assume R=10k
Rf=
Choose C=0.01f
Fh=1/2Rc
R=
HPF:
=3-AV
For butter worth filter =1.414
So, AV=3-1.414=1.586
Av=1+Rf/R
Assume R=10k
Rf=
Choose a standard value of Capacitor C say 0.01 F
Then fL=1/2Rc
R=
MODEL GRAPH:
LPF:

HPF:

RESULT:-

REVIEW QUESTIONS
1. Classify filters?
2. Discuss the disadvantages of passive filters?
3. Why are active filters preferred?
4. List the commonly used filters?

ANALOG TO DIGITAL CONVERTER USING ADC0804


A I M : Design an analog to digital converter using ADC-0804. Verify the digital output for the
corresponding analog input.
COMPONENTS REQUIRED:
1.

ADC-0804 trainer kit

THEORY:

An A/D converter is to determine the output signal word corresponding to an analog


signal. ADC 0804 is an 8-bit converter with 5V of power supply. It can take only one
analog signal as input.
The digital output varies from 0-255. ADC needs a clock to operate. The time taken to
convert the analog to digital value depends on the clock source. An external clock can be
given to CLK IN pin no.4. A suitable RC circuit is connected between the clock IN and
clock R pins to use the internal clock. Pin2 is the input pin High to low pulse brings the
data from internal register to the output pins after conversion. Pin3 is a Write Low to
high pulse is given to external clock. Pin11 to 18 are data pins from MSB to LSB.
Pin diagram of ADC0804 is as shown below, where
Pin 1: It is a chip select pin and activates ADC, active low.
Pin 2: It is an input pin; high to low pulse brings the data from internal registers to the
output pins after conversion
Pin 3: It is an input pin; low to high pulse is given to start the conversion
Pin 4: It is a clock input pin, to give external clock
Pin 5: It is an output pin, goes low when conversion is complete
Pin 6: Analog non-inverting input
Pin 7: Analog inverting input, its normally ground
Pin 8: Ground (0V)
Pin 9: It is an input pin, sets the reference voltage for analog input
Pin 10: Ground (0V)
Pin 11 Pin 18: It is an 8-bit digital output pins
Pin 19: Is used with clock IN pin when internal clock source is used
Pin 20: Supply voltage; 5V

Circuit Diagram:

PROCEDURE:
1.
2.
3.
4.
5.

Connect the power supply to ADC-0804 trainer kit.


Provide analog input voltage to the trainer kit and observer the corresponding digital output.
If input voltage is 0.215v and the corresponding output is 00001011, verify this practically.
Observe the digital output for any of the 10 analog inputs out of 256 combinations
Verify the practical values with the respective theoretical values.

TABULAR COLUMN:
S.No.

Analog input voltage

Digital output value

RESULT:

REVIEW QUESTIONS:
1. What are the applications of ADC 0804?
2. What are other converters that are used for ADC?
3. What is the difference b\w ADC 0804 and other ADC converters circuits?

Potrebbero piacerti anche