Sei sulla pagina 1di 31

Lecture 4

Photolithography

Department of Mechanical Engineering

In Brief
Lithography is the process of imprinting a geometric pattern from
a mask onto a thin layer of materials called resist which is a radiation
sensitive polymer

Process to fabricate a certain structure:


- A resist layer is spin-coated or sprayed onto the wafer
- A mask is then placed above the resist
- A radiation is transmitted through the clear parts of the mask
- The structure pattern of opaque mask materials (usually Cr) blocks
some of the radiation
- The radiation is used to to change the solubility of the resist
in a known solvent
- The pattern transfer process is accomplished by using a lithographic
exposure tool which emits radiation
Department of Mechanical Engineering

Lithography
The performance of the radiation tool is determined by
three parameters:
- Resolution: the minimum feature size that can be
transferred with high fidelity to a resist film on the
surface of the wafer
- Registration: a measure of how accurately patterns
on successive masks can be aligned with respect to
previously defined patterns on a wafer
- Throughput: the number of wafers that can be
exposed per hour for a given mask level

Depending on the resolution different types of radition


may be employed in lithography:
(UV) light (optical lithography), electrons, X-ray, and ions
Department of Mechanical Engineering

Lithography
Resolution capabilities of the aligner and resist are primarily function
of the wavelength of the exposing light
The shorter the wavelength, the higher the resolution capability
Shorter wavelengths carry more energy, enable shorter exposure thus
less scattering

Diffracting reduction of image in resist

Light scattering in resist


Department of Mechanical Engineering

Photoresist exposure source

Ultraviolet and visible spectrum

Exposure response curve


(source: Shipley Megaposit
XP-89131 photoresist)
Department of Mechanical Engineering

X-Ray exposure system


Similar to UV and DUV
systems
Mask made from gold
The development of high
performance x-ray capable
resist is slow

Department of Mechanical Engineering

Electron beam exposure system


Pattern generated
from computer
No mask
direct writing

Electron beam scanning


(a) Rasters scan
(b) Vector scanning
Department of Mechanical Engineering

Department of Mechanical Engineering

Mask
Fabrication
Process

Department of Mechanical Engineering

Mask Fabrication
For a certain MEMS or IC device, a large composite computer graphics
plot of all masks is drawn first
This plot is typically a hundred to a few thousands times the final size
The composite graphics plot is then broken into mask levels that
corresponding to a particular process sequence such as
isolation region on one level, the metallization on another, etc.
An image for each masking level is drawn, and transferred to a
pattern generator which uses a flash lamp to expose the series of rectangles
composing the mask image directly onto a photographic plate
called reticle. Reticle images range from 1 to 10 times final size
The final mask is made from the reticle using a special projection
printing system.
The choice of mask materials depends on the desiraed resolution.
For feature sizes of 5 m or larger, masks are make from glass plates
covered with a soft surface materials such as emulsion.
For smaller feature size, masks are made from glass covered with hard
surface materials such as Cr or ion oxide
Department of Mechanical Engineering

10x reticle of metal-level mask


Composite computer graphics plot of all mask for
a simple integrated circuit

Mask fabrication
Final-size emulsion mask with
400 copies of the metal level
of the integrtaed circuit in (a)

Department of Mechanical Engineering

Resist Requirements

Sensitivity - high sensisity


reduces exposure time
Adhesion - resist must adhere
to the surface and not lift-off
Fabrication properties - etch
resistance, temperature
stability.
Resolution - what linewidth can
we achieve?

Resolution profiles of
photoresist

Department of Mechanical Engineering

Photoresists

UV Negative Resist Formulation


Polyvinyl cinnamate (early
1960's)
Cyclized polyisoprene polymers
sensitizers
quinones (polyvinyl)
azido compounds (polyvinyl &
polyisoprene)
nitro compounds (polyvinyl)

Solvents/Developers

acetic acid (polyvinyl)


notrobenzene ( polyvinyl)
Furfural ( polyvinyl)
Xylene ( polyisoprene)
Benzene (polyisoprene)

UV Positive Resist
Formulation
Common phenol
formaldehyde novolac
Sensitizer
napthoquinone diazide

Solvents/Developers
ethylene glycol monomethyl with
dilvents butyl acetate
xylene

Advantages
broader optical range
easier to remove

Disadvantages
linewidth
swelling and shrinks

Department of Mechanical Engineering

Photoresist
Semiconductor Photoresists & Auxiliaries
(http://www.tok.co.jp/index-e.htm)

g-line photoresists
OFPR series (Standard photoresists)
TSMR series (Submicron patterning photoresists)

i-line photoresists
TSCR series (Dyed photoresist for halfmicron patterning on
high/mideum reflective substrates)
THMR-iP/iN series (Halfmicron patterning photoresists)
TDMR-AR series (Sub-halfmicron patterning photoresist)
TSQR-iQ series (Sub-halfmicron patterning photoresist)

Deep-UV (KrF) photoresists


TDUR-P/N series(Quartermicron patterning photoresists)

Electronic Beam photoresists (EB)


OEBR series

Auxiliary chemical products


Developing solution/Rinsing solution/Stripping solution/Diluting
solution / Thinner
Department of Mechanical Engineering

Other Lithographic Technologies

Deep U.V. Exposure


Technology
Deep U.V. - 180-330 nm
Disadvantages
lack of availability of lamps
mismatch between lamp and resist
contact printing

Advantages
low cost
easier technology
wide range of resist

E-Beam Lithography
Electron- beam wavelength << optical
wavelength
Disadvantages
high cost
resolution limits beam spread (50 A - 300
A)
scattering

(a) in resist during transit

(b) backsaattering from the substrate

Advantages
higher resolution

Department of Mechanical Engineering

Other Lithographic Technologies

X-Ray Lithography
X-Ray lithography (XRL) consists of
proximity printing of a mask onto a wafer.
Advantages
resolution and process simplicity (linewidth<1 mm)
no need for multilevel resist systems used in e-b lithography
XRL parallel writing process, e-beam is a serial; XRL higher
throughput

Techniques
E-beam
X-ray
Direct stepping
UV-DUV

Cost
1-2 million
4 million
0.5 million
15,000 - 0.5 million
Department of Mechanical Engineering

Contact printing

Proximity printing

Projection printing

SHADOW PRINTING

Department of Mechanical Engineering

SHADOW PRINTING

The theoretical resolution of an optical projection


is the minimum feature size
aligner is given by
k is a process dependent constant between 0.5 and 1,0
is the wavelength of t he exposure light
NA is the numerical aperture of the aligners optics

The theoretical depth of focus:

The resolution limit of shadow printing is due to


diffraction effects, the minimum printable linewidth is:
b is the grating linewidth
s is the gap between the mask and the photoresist
d is the photoresist thickness

In the case of hard contact printing, s = 0, the


minimum printable linewidth is:
Department of Mechanical Engineering

Lift-off

Lift-off is a additive process for metal film


patterning:
The wafer is completely covered by a
photoresist layer pattened with openings
where the final material is to appear
The thin film layer is deposited over the
surface of the wafer
Any material deposited on top of the resist
will be removed with the resist, leaving
the patterned materials on the wafer

Lift-off requires the metal film to be thinner


than the photoresist. This requirement limits
the metal linewidth. Thinner linewidths
normally require thinner photoresist layers.

Department of Mechanical Engineering

Topographical height variation

Resist spinning and imaging become difficult for wafer with


deep cavity or trench (depth >10 m)
Contact and proximity tools are not suitable
Projection tool may be used by adjustment of focus at each
height level

Department of Mechanical Engineering

Double-Sided Lithography
For MEMS device, there is a need for double-sided lithography tool
Two companies:
- Karl Sss GmbH, Munich, Germany
Karl Sss MA-150 production mode system

- Electronic Versions Campany, Schrding, Austria


Operation
- The mask is mechanically clamped
- The alignment marks on the mask are viewed by a set of
dual objectives, and image is electronically stored
- Wafer is then loaded with backside alignment marks facing
the microscope objectives
- The alignment marks is aligned to the stored image.
- After alignment, exposure of the mask onto the front-side
of the wafer
Misalignment 2m

Department of Mechanical Engineering

Double-Sided
Lithography

The use of "back-side" alignment using


the SUSS patented "frame-grabbing
technique is available on the SUSS MA6
BSA and SUSS MA150 BSA aligners.

Department of Mechanical Engineering

Mask Aligners

SUSS Manual Mask Aligners, the M6

SUSS Manual Mask Aligners, the MA8


Department of Mechanical Engineering

Thin Film Etching

SiO2 wet etching


Buffered oxide etch (BOE)
HF + NH4F+H2O are mixed at
different strength

For SiO2 passivation layer


Using NH4F + CH3COOH

Al wet etching
Using phosphoric based acid
solution as etchant
By product: H2 bubbles. The
bubbles may cling to the wafer
surface and block the etch action.
Agitation such as ultrasonic or
megasonic waves are used.

Etch rate vs. T

Department of Mechanical Engineering

Thin Film Etching

Department of Mechanical Engineering

Device Example
(a) Schematic cross-section view of
the transducer with perforated
damping backplate.
(b) A final device with backplate
mounted and wired to a printed
circuit board. Four acoustic slots
and acoustic holes in the backplate
are clearly seen.

Z.Wang, et al., Acoustic transducers with a perforated


damping backplate based on PZT/silicon wafer bonding
technique, Sens. Actuators A: Phys. (2008),
Department of Mechanical Engineering

Device Example
Fabrication process of the transducer.
(a) Sputtering Cr/Au on both PZT and SOI
wafer; spin-coating and patterning of
Cytop on the SOI wafer.
(b) Bonding the PZT and SOI wafers
together with the Cr/Au layers facing
with each other.
(c) Thinning down the PZT layer by using
CMP.
(d) Sputtering and patterning of Cr/Au as
top electrode; wet etching of PZT to
expose bottom electrode; and etching
the backside Si by using DRIE to
release the diaphragm.
Z.Wang, et al., (2008),
Department of Mechanical Engineering

Device Example

SEM images of the released


diaphragm structure show
the uniform thickness and
well crystallized bonded
PZT layer.

SEM images of the


PZT/Cytop/Si bonding
interface after the PZT was
thinned down by CMP.

Z.Wang, et al., (2008),


Department of Mechanical Engineering

Device Example
Fabricated acoustic
transducers at various stages
of the fabrication process.
(a) Front side of a 4 in. SOI
wafer after Si/PZT bonding and
CMP shows the top electrode
patterns of the transducer.
(b) Back side after DRIE
shows the boundary of the
individual transducers and the
released diaphragm.
(c) Single transducer after
dicing.
(d) Transducers with backplate,
mounted on PCB board.

Z.Wang, et al., (2008),

Department of Mechanical Engineering

Device Example

(a)

(b)

PE hysteresis curve of the


bonded PZT plate after CMP
to around 40-m thick.

Impedance spectrum
shows a transducer (1TR3)
has electromechanical
coupling coefficient of
4.91%.
Complex impedance circle
shows the difference
between the test results
and fitting results. Q value
of the same transducer
obtained from the fitted
equivalent circuit is 37.7.

Z.Wang, et al., (2008),


Department of Mechanical Engineering

Device Example

Z.Wang, et al., (2008),

Equivalent circuit of the


transducer.
(a) The transducer without
backplate taking into account the
dielectric loss R0 and contact
resistance R2.
(b) The transducer with
backplate taking into account the
damping Rb and mass Lb caused
by the backplate.

Things to know:
1) DRIE: Deep reactive ion etch
2) Cytop: a dielectric polymer coating for bonding
3) SOI: silicon on insulator
4) PZT thin/thick films
5) CMP: chemical-mechanical polishing
Department of Mechanical Engineering

Potrebbero piacerti anche