Sei sulla pagina 1di 9

Universidad de El Salvador

Sistemas Digitales I

Universidad de El Salvador
Facultad de Ingeniera y Arquitectura
Gua 2: Convertidor de cdigos con compuertas bsicas
Cruz Jurez, Francisco Ernesto

RESUMEN: Esta segunda gua pretende ser una


introduccin al uso de las potentes herramientas de
simulacin para la verificacin del buen
funcionamiento de cdigo escrito en VHDL (Very
High Speed Integrated Circuit Hardware
Description Language) y a la vez seguir
introduciendo al estudiante en la programacin en
este lenguaje.
Como se ha visto antes, con software como GHDL,
ActiveHDL, Altera y otros similares se obtienen los
resultados esperados, pero cuando los circuitos son
ms complejos o es necesario conectar a un
dispositivo final, como un LED (Diodo Emisor de
Luz), una LCD (Pantalla de Cristal Lquido), un
display de siete segmentos, etc. el simple hecho de
ver unas grficas no sirve de mucho, si no que se
necesita en muchas ocasiones interactuar con el
circuito, con interruptores, pulsadores, etc. Lo que
se necesita es una simulacin en tiempo real. Para
ello existen infinidad de herramientas, algunas ms
potentes que otras, por ejemplo: Proteus, PSIM,
TINA, WorkBench, MultiSim, etc. Pero aparte de
que trabajen en tiempo real, se necesita que
soporten la programacin en VHDL. Pocas
herramientas trabajan con VHDL, pero MultiSim y
TINA son unas de las que ms resaltan. En esta
gua se usar TINA versin estudiantil, ya que es
una de las ms fciles de utilizar.

Convertidor de cdigos con compuertas bsicas

Ramrez Molina, Sasi Lizet

INTRODUCCIN: TINA tiene a su disposicin


varias ediciones, cada una se ajusta de acuerdo a
las necesidades de cada individuo, segn el fin que
se le desee dar al software.
TINA, puede ser usado fcilmente en reas de
diseo de circuitos lineales, Microelectrnica,
Electrnica de Potencia, Microprocesadores y
Micro controladores, Circuitos digitales, y otros.
VENTAJAS DEL USO DE TINA:

Tiene un editor y depurador de cdigo


VHDL. Trabaja con circuitos analgicos,
digitales e incluso mezclas de ambos.

Permite usar Sub Circuitos, para manejar de


forma ms fcil y compacta grandes
circuitos. Tiene a su disposicin un gran
repertorio
de
circuitos
integrados
disponibles en el mercado, as como otros
de propsito ilustrativo.

No necesita la compilacin previa de cdigo


VHDL,
ya
que
lo
interpreta
automticamente.

Ciclo I-2015

Ing. Salvador German

Pgina 1

Universidad de El Salvador
Sistemas Digitales I

Instalacin de las herramientas de programacin


y Simulacin VHDL:
Para Windows: Descargar el Software a utilizar,
seguir los pasos de instalacin que se indican
durante el proceso de instalacin.
Para Linux: Si se quiere instalar TINA en Ubuntu,
se tiene que instalar previamente una herramienta
llamada wine, esta se puede instalar desde el
Synaptic, una vez instalada se ejecuta el archivo
descargado de Tina, igual que en Windows, y se
siguen los mismos pasos.
Ejemplo sencillo del uso de TINA y VHDL.
Lo primero que se debe hacer es editar el cdigo a
utilizar, este se puede escribir en cualquier editor
de texto plano, como el block de notas de
Windows, o el Gedit de Ubuntu, la ventaja del
Gedit es que resalta el cdigo con colores, lo cual
hace ms fcil la interpretacin del mismo, as
como la bsqueda de errores. Tambin se puede
usar otro software como los vistos en la gua
anterior, ActiveHDL, Altera, etc. Por ltimo TINA
tambin trae un editor depurador de cdigo VHDL,
la interfaz de ste se muestra en la figura 1. El
cdigo de ejemplo es el mismo que se utiliz en la
gua anterior, se debe escribir uno para una
compuerta AND y otro para una compuerta OR. No
se muestran detalles de esto porque se supone que
el estudiante ya est familiarizado con la
programacin en cdigo VHDL.

Figura 1.
Para correr TINA o el Depurador de VHDL en
Windows al men de inicio y se busca como se
muestra en la figura 2. En Linux Ubuntu ir a
Accesorios, Wine y ah buscar Tina debe verse
igual que en Windows.

Figura 2.

Convertidor de cdigos con compuertas bsicas

Ciclo I-2015

Ing. Salvador German

Pgina 2

Universidad de El Salvador
Sistemas Digitales I
Se ingresa en TINA, con lo cual se deber ver la
siguiente imagen.

Figura 3.
La interfaz de TINA se muestra en la figura 4, se han
resaltado las pestaas que se utilizarn en el desarrollo
de este ejemplo. Se selecciona una pestaa por
ejemplo BASIC y se muestran varios dispositivos
sobre esta barra como fuentes de voltaje DC, Conexin
a tierra, etc. Si se elige otra pestaa se muestran otros
componentes.
Figura 5.

Figura 6.

Figura 4.
Para crear un nuevo componente, para el caso un
componente VHDL, ir al men TOOLS y seleccionar
NEW MACRO WIZARD, como se muestra en
la figura 5, esto mostrar otra ventana como en la
figura 6.

Convertidor de cdigos con compuertas bsicas

Esta ventana se modifica de modo que quede


como la figura 7, en sta se ha resaltado las
partes que hay que editar, luego se pulsa sobre
el botn superior que tiene tres puntos, con esto
se abrir una nueva ventana sta se muestra en
la figura 8. En esta ventana deber explorar y
encontrar el cdigo que edit en la parte 1,
recuerde que ese cdigo debe tener extensin
de archivo *.vhd.
Elegir la opcin abrir y presionar OK.

Ciclo I-2015

Ing. Salvador German

Pgina 3

Universidad de El Salvador
Sistemas Digitales I
Parece que se ha hecho nada, pero ahora se puede
usar el componente creado, como cualquier otro
componente de TINA, ahora ir al men INSERT, y
elegir la opcin MACRO como se muestra en la
figura 10, aparecer una nueva ventana explore en
busca del componente creado, seleccinelo y
bralo, como se muestra en la figura 11.
Figura 7.

Figura 8.
Luego de esto aparecer una nueva ventana, donde se
escribe el nombre del componente a ser creado, este
puede ser el mismo que el del cdigo VHDL creado,
este proceso se muestra en la figura 9. Se guarda y
se estar de nuevo en el canvas principal (parte de la
ventana principal destinada para el diseo del
circuito) como en la figura 4.

Figura 10.

Figura 11.
Figura 9.

Convertidor de cdigos con compuertas bsicas

Ciclo I-2015

Ing. Salvador German

Pgina 4

Universidad de El Salvador
Sistemas Digitales I
Al abrir el componente, aparecer pegado al cursor
del mouse, como se muestra en la figura12, haga
click en un lugar del canvas y habr colocado su
primer componente, este se muestra en la figura 13.

Seleccion ahora otros componentes, primero unos


interruptores que servirn de medios de accin
sobre el circuito, para seleccionarlos, elija la
pestaa switches, como se muestra en la figura 15.
Seleccionarlo y hacer las conexiones como se
muestra en la figura 16.

Figura 12

Figura 15.

Figura 13.
Puede copiar y pegar componentes al igual que un
editor de texto, Ctrl+C, Ctrl+V, o elegirlo de nuevo
volviendo a la figura 10, por cualquiera de estos dos
mtodos, seleccione otro componente, repita el
procedimiento para una compuerta OR, de modo que
el resultado sea el que se muestra en la figura 14.
Figura 16.
Ahora elegir un indicador en la pestaa METERS,
como se muestra en la figura 17. Finalmente el
circuito debe quedar como se muestra en la figura
18.

Figura 14.
Figura 17.

Convertidor de cdigos con compuertas bsicas

Ciclo I-2015

Ing. Salvador German

Pgina 5

Universidad de El Salvador
Sistemas Digitales I

Figura 20.
Figura 18.
Ahora editar un poco ms, solo para efectos de fcil
interpretacin, haga doble click sobre un
interruptor, aparecer la ventana que se muestra en la
figura 19, cambie el nombre en la entrada Label
donde dice SW-HL1, y cambiarlo por una A,
hacer lo mismo para los otros componentes,
colocando un nombre que represente mas el circuito,
como se muestra en la figura 20.

Ahora para correr la simulacin, seleccionar VHDL


en la lista desplegable que se muestra en la figura
21, luego pulsar sobre el botn que est justo a la
par VHD como se muestra en la figura 21.

Figura 21.

Figura 19.
Figura 22.
Utilizando los interruptores se puede provocar
todas las entradas posibles, para observar el
comportamiento del circuito, en las figuras 23, 24 y
25, se muestran algunas posibles entradas, con su
respectiva salida.

Convertidor de cdigos con compuertas bsicas

Ciclo I-2015

Ing. Salvador German

Pgina 6

Universidad de El Salvador
Sistemas Digitales I

Figura 23.
Figura 26.

Figura 24.
Figura 27.
Por ltimo puede ver, el cdigo VHDL de un
componente haciendo doble click sobre l,
aparecer la ventana que se muestra en la figura 28,
luego elija la opcin ENTER MACRO, y
aparecer el cdigo como se muestra en la figura
29.
Figura 25.
Observe como los puntos rojos y azules, indican el
estado de cada entrada y salida, adems puede
comprobar fcilmente que el circuito hace justamente
lo que se esperaba que hiciera.
Por ltimo guarde el circuito con el nombre que
quiera darle, como se muestra en las figuras 26 y 27.

Convertidor de cdigos con compuertas bsicas

Ciclo I-2015

Figura 28.

Ing. Salvador German

Pgina 7

Universidad de El Salvador
Sistemas Digitales I
El convertidor tendr en la entrada slo
cdigos vlidos del 8421 y deber ser
creado utilizando el cdigo VHDL para
crear el elemento en TINA (no se podr
utilizar un decodificador ya existente en
TINA),
estrictamente
utilizar
solo
compuertas bsicas (and, or y not). El
display de 7 segmentos ser el que se
encuentra disponible en TINA. Las
entradas A, B, C y D sern generadas con
switches que ya se encuentran en TINA e
irn conectados a tierra o Vcc (5V). El
modelo de switch a utilizar queda a opcin
del estudiante. En el ejemplo se mostr la
opcin ms sencilla.

Figura 29.

Asignaciones:
1. Desarrollar y simular un convertidor de cdigo
8421 a 7 segmentos utilizando TINA como
simulador y un display de 7 segmentos de la
siguiente forma:

Figura 30.

Convertidor de cdigos con compuertas bsicas

Ciclo I-2015

2. Desarrollar un convertidor de cdigos


BCD: 2421, 5421, 7421 y Exceso-3,
todos a el cdigo BCD 8421;
estrictamente utilizar solo compuertas
bsicas. Se usarn 6 entradas. Las
primeras dos entradas (A y B) sern
entradas de control, de la siguiente
forma: 00=7421 a 8421, 01= Exceso 3 a
8421, 10=2421 a 8421 y 11= 5421 a
8421. Las otras 4 entradas (C, D, E y F)
sern del cdigo BCD a convertir. Se
usarn 4 salidas (w, x, y, z) para el
cdigo BCD 8421.
Deber crearse un macro utilizando
cdigo VHDL en TINA. Las entradas
sern generadas con switches. En la
salida,
colocar
LEDs
para
la
interpretacin de la simulacin. En las
combinaciones no vlidas, debern
colocarse X(dont care conditions ).
El modelo de switch a utilizar en las
entradas, queda a opcin del estudiante.
Este es tambin, el lab1 de SDI215.

Ing. Salvador German

Pgina 8

Investigacin:
Investigue las libreras existentes para VHDL, las
caractersticas, elementos que contiene, como
accesar a stas y cmo utilizarlas.
Contenido del reporte: (70%)

Resultados de investigacin. 10 %
Observaciones de cada circuito. 5%

Conclusiones. 15%

Bibliografa 5 %

Envo de correo: (30%)

Portada 2.5%

Reporte en formato PDF y


WORD. 15 %

ndice 2.5%

Cdigos utilizados (*.vhd). 30%

Introduccin (mx 1 pg.) 5%

Objetivos 5%

Marco Terico (mx 3 pgs) 5%

Desarrollo de los circuitos:


Para cada circuito:
-Descripcin de los pasos seguidos para la
solucin. 10%
-Tablas de verdad, ecuaciones generadas y
simplificacin por mapas de Karnaugh. 15
%
-Cdigos VHDL 10 %
-Grficos de cada circuito y de su
funcionamiento en TINA (capturas).
10 %

Circuitos hechos en TINA. 20%


Componentes creados (*.TSM) 20%
Imgenes de los resultados. 15%
NOTA: Enviar el correo a la direccin
sistemasdigitales2015@hotmail.com el mismo da
de la entrega de la tarea (si el correo no se recibe el
mismo da se perder el 30% de la nota). Adjuntar
en el correo de forma separada): un archivo
comprimido conteniendo los reportes y otro archivo
comprimido donde se contengan los cdigos vhd,
los circuitos TINA, los componentes TSM y las
imgenes, cada uno en su carpeta para cada circuito.
Como asunto colocar: Tarea 2 y el carnet de los
integrantes (Ejemplo: Tarea2 AA13000 AA13000).

Fecha de entrega: martes 28 de abril de 2015, de 10 am a 11 am, junto a la asociacin de estudiantes


de elctrica. Despus de esa hora se calificar en base nueve, cada da de retraso en la entrega disminuye la
base de calificacin en 1.
Entregar el reporte impreso en el horario especificado y enviar el correo a la direccin estipulada, el mismo
da. No entregarn disco.

Potrebbero piacerti anche