Sei sulla pagina 1di 19

ACTIVIDAD No 6.

TRABAJO COLABORATIVO No 1
SISTEMAS DIGITALES SECUENCIALES

HECTOR FABIO ESCOBAR COD: 94325922

Grupo: 90178-30

Tutor:
RUIZ CARLOS EMEL.

INGENIERIA EN ELECTRONICA
UNIVERSIDAD NACIONAL ABIERTA Y A DISTANCIA UNADOCTUBRE 2013

INTRODUCCIN

En la actividad se nos solicita, fabricar un carro-robot, que despus de


encendido tenga la facultad de retroceder por s mismo durante tres segundos
en caso de estrellarse, y retomar una nueva direccin.
Debemos buscar la forma de generar movimiento continuo en las ruedas del
carro luego de haberlo encendido, y que en caso de choque, genere una seal
que invierta el giro de los motores de las ruedas para que retroceda durante el
tiempo estipulado, luego de lo cual debe retomar la direccin inicial, cada
choque siempre debe generar un desplazamiento de reverso.
Se debe tener en cuenta, que despus del encendido, la seal de choque
debe detener el avance hacia adelante, colocar marcha atrs y enclavndola
durante tres segundos.

CONTENIDO

Portada
Introduccin
Objetivos
DESARROLLO DE LA ACTIVIDAD

Conclusiones
Anexos
Bibliogrficas.

OBJETIVOS

Llevar a la prctica lo conocimientos adquiridos sobre los cerrojos y los


flip flop

Desarrollar la propuesta del proyecto desde su funcionamiento,


aplicacin y diseo sobre las unidades tratadas en la unidad No.1.

Implementar un programa sobre el funcionamiento del circuito diseado


como herramienta de desarrollo del proyecto.

Conocer el manejo de programas para circuitos digitales.

Disear un vehculo usando circuitera combinaciones y secuencial


capaz de cambiar de direccin.

Utilizar herramientas virtuales de simulacin de circuitos para la


ejecucin del diseo.

Describir detalladamente el funcionamiento del circuito y de cada uno de


los elementos que lo componen.

Realizar el respectivo diagrama de bloques del sistema.

Fase 1. Descripcin del problema.

Disear mediante lgica combinacional y secuencial el control de un vehculo


impulsado por dos motores de DC, localizados en las ruedas traseras del
mismo. El vehculo cuenta con dos sensores en la parte frontal que permiten
detectar el impacto con un obstculo.
El diseo parte de que el vehculo inicia su marcha hacia adelante; y una vez
detecta un obstculo mediante los sensores frontales debe retroceder el
vehculo cambiando el giro de los motores en sentido opuesto al obstculo, sin
importar cul de los dos sensores detecto el obstculo debe cambiar el sentido
del giro.
Una vez los sensores detectan el impacto debe invertir el giro del vehicul por
espacio de tres segundos y reiniciar su marcha en sentido opuesto, dicho
tiempo debe ser controlado por un circuito monoestable empleando el
temporizador 555.
Fase 2. Implementacin.

Descripcin del problema. La descripcin parte del diseo de un circuito de


control de un vehculo a travs de los recursos de la lgica combinacional y
secuencial para impulsar mediante una interfaz de acople activar dos
motores de DC, localizados en la rueda traseras. El vehculo cuenta con dos
sensores mediante microswitch localizados en la parte frontal que detectan
el impacto e informan al circuito de control mediante un nivel lgico la
presencia de un obstculo. El diseo recuerda el estado y cambia el giro de
los dos motores de DC en sentido opuesto mediante un circuito de interfaz
conformado por un puente de H con transistores NPN y PNP permitiendo
suministrar la potencia adecuada para el funcionamiento de los dos
motores. Una vez realizado el cambio de giro del motor se activa un circuito
monoestable empleando el temporizador 555, que se encuentra configurado
para una activacin de tres segundos tiempo en el cual gira el vehculo en
sentido opuesto, para posteriormente retomar el estado inicial de giro de los
dos motores de DC.
Lista de materiales.
2 microswitch para los sensores frontales.
2 motores de corriente contina de 5Vdc.
1 rueda o rodachina para el soporte en la parte frontal del vehculo.
1 base en acrlico como chasis para la adaptacin de los diferentes
elementos del vehculo.
Para el diseo del circuito de control e interface de potencia se emplearon
los siguientes materiales.

1 circuito integrado 7404 (Compuerta NOT).


1 circuito integrado 7408 (Compuerta AND).
1 circuito integrado 74107 (Flip - Flop JK).
1 circuito integrado LM555.
1 resistencia 10k 1/4w.
1 resistencia 270k 1/4w.
1 condensador 0.01uF.
1 condensador 10uF 16v.
2 transistores TIP127.
2 transistores TIP122.
2 transistores 2N3906.
2 transistores 2N3904.
Elaborar un diagrama de bloques.

Entradas
Sensores

Lgica de
control

Interface de
potencia

Temporizador

Salidas
Motores

Fig. 1. Diagrama de bloques del sistema de control del vehculo.


En el anterior diagrama Fig. 1, se puede identificar el bloque de entradas al
sistema de control de vehculo conformado por los sensores mediante
microswitches con contactos normalmente abiertos que detectan el
impacto del vehculo ante un obstculo, dicha seal generada por los
sensores alimenta al bloque temporizador que produce una seal por un
periodo de tiempo de 3seg, tiempo en el cual el vehculo retrocede en
direccin contraria. El siguiente bloque corresponde a la lgica de control
que recibe como entradas la seal de los sensores y el temporizador para
a travs de una lgica combinacional y secuencial ejecutar la activacin de
los elementos de salida tal como se defini en las especificaciones del
problema. El bloque de interface de potencia recibe la seal del bloque de
lgica de control para mediante una interfaz de potencia conformada
mediante un puente H con transistores NPN y PNP permiten suministrar la
potencia necesaria para activar los 2 motores de corriente continua que
desplazan el vehculo en la direccin especificada.

Definir las variables lgicas de entrada y salida del sistema.


Las variables de entrada y salida para el bloque de lgica de control, son las
siguientes:

Entrada SW. Corresponde a la entrada conformada por dos


microswitches conectados en paralelo y posteriormente conectado a la
compuerta NOT como se muestra en la Fig. 2, de tal manera que
cuando se detecta cualquiera de los dos sensores SW1 y SW2 produce
un nivel bajo, que al pasar por la compuerta genera un nivel alto.

SW
SW1

SW2

Fig. 2. Diagrama de conexin de los dos microswitches.


Entrada T. Corresponde a la entrada proveniente del bloque
temporizador del circuito integrado 555, el cual se activa por espacio de
tres segundos manteniendo el nivel alto una vez detecta la activacin de
los sensores SW1 y SW2.

555

Lgica de
control

Fig. 3. Diagrama de conexin de entrada T al bloque de lgica de


control.
Salida Q. Corresponde a la salida del bloque lgica de control que activa
el motor en un determinado sentido mediante el bloque de interface de
potencia.

QN

Interface de
potencia

Fig. 4. Esquema de conexin de salida Q al bloque de interface de potencia.

Realizar el programa en la herramienta de VHDL.


Realizar una tabla de verdad que muestre la relacin entre las variables de
entrada y salida del sistema, si es posible simplificar la funcin utilizando
mapa de Karnaugh.
En la siguiente Tabla 1, se relaciona las variables de entrada y salida del
bloque lgica de control.
SW
0
0
0
0
1
1
1
1

T
0
0
1
1
0
0
1
1

QN
0
1
0
1
0
1
0
1

QN+1
0
0
0
1
1
0
1
1

J
0
0
0
0
1
0
1
0

K
0
1
0
0
0
1
0
0

Tabla 1. Tabla de verdad que relaciona las variables de entrada y salida.


Como se puede observar en la Tabla 1, la variable SW corresponde a la
seal de los microswitches activo en nivel alto permitiendo el cambio de
estado de QN de 01, en dicho estado el vehculo se desplaza en direccin
contraria al obstculo por un tiempo de tres segundos hasta que la variable
T correspondiente a la seal de temporizador activa en nivel alto, detecte la
transicin a nivel bajo originando el cambio de estado de Q N de 10, lo que
provoca que el vehculo se desplace en la direccin inicial. Para el diseo
del sistema de secuencial que guarda el estado se empleo un Flip-Flop JK.
A partir de la Tabla 1 se procede a encontrar la lgica combinacional de la
mquina de estados mediante la minimizacin de mapas de Karnaugh, para
las entradas J y K del Flip-Flop correspondiente.

Las anteriores funciones J y K corresponden a la minimizacin a travs de


los mapas de Karnaugh a partir de la tabla de verdad.

Realizar la respectiva simulacin del sistema utilizando Proteus.

Fig. 5. Diagrama de simulacin del sistema.


En la Fig. 5, se puede observar la simulacin del sistema en donde se
puede observar que el vehculo no ha detectado ningn obstculo por lo
que conserva la salida Q del Flip-Flop JK en 0, haciendo el motor se
movilice en una determinada direccin.

Fig. 5. Diagrama de simulacin del sistema por activacin de SW2.


Cuando se detecta la activacin del microswitch SW2, este produce un nivel
alto en la entrada SW al pasar por la compuerta inversora ocasionando un
nivel alto en la entrada J del Flip-Flop JK, lo que provoca un SET en el FlipFlop que cambia el estado pasando Q=1, haciendo que el motor cambie de
sentido. Igualmente la seal SW2 produce un nivel bajo a la entrada del
mutivibrador monoestable que produce una seal temporizada en el pin de

salida con un nivel alto por espacio de tres segundos. Una vez termina la
temporizacin se coloca en nivel bajo la salida del temporizador lo que
provoca un RESET en el Flip-Flop que conmuta el estado pasando Q=0,
que ocasiona que el motor recupere el giro en el sentido original.
Calculo del periodo de oscilacin del 555 de 3 seg.
A partir de las especificaciones se emplea la siguiente formula, para lo cual
se considera un condensador C=10uF.

Por lo que el tiempo calculado T a partir de los valores comerciales cumple


con el valor especificado, y cuya conexin se observa en la Fig. 6.

Fig. 6. Esquema del multivibrador monoestable para T=3seg.

Descripcin del sistema.


La descripcin del sistema contiene un circuito de control diseado a travs
de la lgica combinacional y secuencial el cual controla mediante una
interfaz de potencia activar dos motores de DC, localizados en la parte
trasera. El vehculo cuenta con dos sensores mediante microswitch
localizados en la parte frontal que detectan el impacto y mediante un nivel
lgico alto al circuito de control originando un cambio de estado que
produce un cambio de giro de los dos motores de DC en sentido opuesto
mediante un circuito de interfaz conformado por un puente de H con
transistores NPN y PNP permitiendo suministrar la potencia adecuada para
el funcionamiento de los dos motores. Una vez realizado el cambio de giro
del motor se activa un circuito monoestable empleando el temporizador 555,
que enva un nivel alto por espacio de tres segundo tiempo en el cual el
temporizador alcanza el nivel bajo provocando un cambio de estado que
cambia el giro de los dos motores de DC.

Fase 3: Verificacin

CDIGO:
--------------------------------------------- Carrito Seguidor de Lneas-------------Evasor de obstculos-------------------------------------------------------library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.NUMERIC_STD.ALL;
entitycomplis
Port ( s_fcc, s_fcd, s_fci, reset, ss, c, i, d : in STD_LOGIC;
clk: inout STD_LOGIC;
e1, e2, e3, e4 : out STD_LOGIC);
endcompl;
architectureBehavioral of complis
constant N : integer := 28; -- tamao de bits para bajar de frecuencia
signal a: std_logic;
signalq_reg, q_reg1, q_reg2, q_next, q_next1, q_next2 : unsigned (N-1
downto 0);
signalsel : std_logic_vector (1 downto 0);
begin
process (s_fcc, s_fcd, s_fci,c,d,i,clk,ss,reset)
begin
if (reset = '0') then
---- GIRA ADELANTE
e1<= '0';
e2<= '1';

e3<= '0';
e4<= '1';
if (ss= '1') then
if (s_fcc = '1' ) then
a<= '1';
if(clk'event and clk = '1' ) then
q_reg <= q_next;
endif;
q_next<= q_reg + 1;
sel<= std_logic_vector(q_reg(N-1 downto N-2));
case selis
when "00"=>
---- retrocede
e1<= '1';
e2<= '0';
e3<= '1';
e4<= '0';
when "01" =>
--- gira derecha
e1<= '0';
e2<= '1';
e3<= '1';
e4<= '0';
whenothers =>
---- GIRA ADELANTE
e1<= '0';
e2<= '1';
e3<= '0';
e4<= '1';
end case;
endif;
if (s_fcd = '1') then
a<= '1';
if(clk'event and clk = '1' ) then

q_reg1 <= q_next1;


endif;
q_next1 <= q_reg1 + 1;

sel<= std_logic_vector(q_reg1(N-1 downto N-2));


case selis
when "00"=>
---- retrocede
e1<= '1';
e2<= '0';
e3<= '1';
e4<= '0';
when "01" =>
--- gira izquierda
e1<= '0';
e2<= '1';
e3<= '1';
e4<= '0';

when "10" =>


---- GIRA ADELANTE
e1<= '0';
e2<= '1';
e3<= '0';
e4<= '1';
q_next1 <= q_reg1;

whenothers =>
e1<= '0';
e2<= '1';
e3<= '0';

e4<= '1';
sel<="00";
a <='0';
end case;
endif;
if (s_fci = '1') then
a<= '1';
if(clk'event and clk = '1' and a = '1') then
q_reg2 <= q_next2;
endif;
q_next2 <= q_reg2 + 1;

sel<= std_logic_vector(q_reg2(N-1 downto N-2));


case selis
when "00"=>
---- retrocede
e1<= '1';
e2<= '0';
e3<= '1';
e4<= '0';
when "01" =>
--- gira izquierda
e1<= '1';
e2<= '0';
e3<= '0';
e4<= '1';

when "10" =>


---- GIRA ADELANTE
e1<= '0';
e2<= '1';
e3<= '0';

e4<= '1';
q_next2 <= q_reg2;

whenothers =>
e1<= '0';
e2<= '1';
e3<= '0';
e4<= '1';
sel<="00";
a <='0';
end case;
endif;
else
if (c = '1' and d = '0' and i= '0') then
---- Seguir ADELANTE
e1<= '0';
e2<= '1';
e3<= '0';
e4<= '1';
endif;
if (c = '1' and d = '1' and i= '0') then
--- GIRAR DERECHA
e1<= '1';
e2<= '0';
e3<= '0';
e4<= '1';
endif;
if (c = '0' and d = '1' and i= '0') then
--- GIRAR DERECHA

e1<= '1';
e2<= '0';
e3<= '0';
e4<= '1';
endif;
if (c = '0' and d = '0' and i= '1') then
--- gira izquierda
e1<= '0';
e2<= '1';
e3<= '1';
e4<= '0';
endif;
if (c = '1' and d = '0' and i= '1') then
--- gira izquierda
e1<= '0';
e2<= '1';
e3<= '1';
e4<= '0';
endif;
endif;
elsif (reset = '1') then
e1<= '1';
e2<= '1';
e3<= '1';
e4<= '1';
endif;
endprocess;
endBehavior
CONCLUSIONES

El uso de estos sistemas digitales es muy til en lo que la robtica se refiere, ya


que una de las cosas ms importantes a la hora de disear el robot es el cmo

controlarlo, estos sistemas empleados adecuadamente permiten realizar dicho


control.
En el anlisis secuencial se deben tener presentes las distintas etapas de
diseo ya que permiten estructurar la solucin a un problema determinado.
La utilizacin de los planteamientos de Moore o por Mealy, permite obtener
circuitos de implementacin sencilla gracias a que estos dan la facilidad de
tener un anlisis detallado del problema, brindando estados y tablas de estados
futuros que ubican de forma categrica el problema dando soluciones optimas
y precisas.
El diseo de circuitos monoestables y su control a travs del LM 555, permite
facilidad al momento de llevar a cabo los montajes y las simulaciones ya que
con un simple pulso se puede manejar la salida de l sin importar la cantidad
de pulsos que tenga el chip a la entrada, por lo cual contribuye a tener un
dispositivo de control efectivo y eficiente.

LINK FUNCIONAMIENTO DEL CARRO

Jos Gregorio Orejuela: http://www.youtube.com/watch?


v=RRi7a9o3Ks&feature=youtu.be
Jaime Alberto Quintero:
http://www.youtube.com/watch?v=P8keD5PLvvM
Hctor Fabio Escobar:
http://www.youtube.com/watch?v=WvFuvOHhLMA

ANEXOS

Laboratorio de sistemas digitales secuencialer CEAD Palmira 19 de octubre


del 2013.

Con compaeros del laboratorio y tutor.

Verificacin con diodos led de etapas del circuito.

BIBLIOGRAFIA

Georffrey Acevedo Gonzlez (2008), 90178- Sistemas Digitales Medelln


(UNAD)

Potrebbero piacerti anche