Documenti di Didattica
Documenti di Professioni
Documenti di Cultura
TECNOLOGICO
DE OAXACA
Ejercicios de la
unidad 2
DISEO DIGITAL CON VHDL
I.
Arquitectura (architecture)
Una arquitectura (architecture) se define como la estructura que describe el
funcionamiento de una entidad, de tal forma que permita el desarrollo de los
procedimientos que se llevarn a cabo con el fin de que la entidad cumpla las
condiciones de funcionamiento deseadas.
La gran ventaja que presenta VHDL para definir una arquitectura radica en la manera en
que pueden describirse los diseos; es decir, mediante el algoritmo de programacin
empleado se puede describir desde el nivel de compuertas hasta sistemas complejos.
De manera general, los estilos de programacin utilizados en el diseo de arquitecturas
se clasifican como:
Estilo funcional
Estilo por flujo de datos
Estilo estructural
Configuracin (configuration)
Declaracin del paquete (package declaration)
cuerpo del paquete (package body)
II.
III.
IV.
V.
d)
VI.
El tipo de dato
std_logic_vector___y__ std_logic
VII.
Desp_plaza
N_ivel
architecture
S_uma #
Res_ _ta
_C_
_f_
_C_
_f_
_f_
1 --Declaracin de la entidad
2 Entity AND is
3
port( A,B:
in bit;
4
C: out bit);
5 end AND;
VIII.
1 --Declaracin de la entidad
2 Entity DEMO is
3
port( A,B:
in bit;
4
Demo:
out bit);
5 end DEMO;
IX.
entity Promedio is
port
(A,B: in bit_vector (2 downto O);
C: out bit_vector(2 downto O));
end Promedio;
X.
entity Circuito is
port
(A,B: in bit_vector (3 downto O);
C: out bit_vector(3 downto O));
end Circuito;
XI.
XII.
XIII.
1
2
3
4
6
7
library ieee;
use ieee.std_logic_ll64.all;
entity Mux is
port (E0,E1,E2,E3,S0,S1: in std_logic;
F: out std_logic);
end Mux;
XIV.
library ieee;
use ieee.std_logic_1164.all;
entity Mux is
6
7
XV.
F: out bit );
end Mux;
1 library ieee;
2 use ieee.std_logic_ll64.all;
3 entity multiplica is
4 port (X,Y:
in std_logic_vector ( 1 downto 0 );
Z:
7 end multiplica;
XVI.
O
library IEEE;
use IEEE.STD_LOGIC_1164.all;
entity lamp_morse is port(
a: in STD_LOGIC;
c: out STD_LOGIC);
end lamp_morse;
architecture arq_lamp of lamp_morse is begin
morse : process (a,c)
begin
if a = 1then c <= `1`;
else c <= 0`;
end if;
end process
end arq_lamp;
XVII.
XVIII.
XIX.
XX.
XXI.
library IEEE;
use IEEE.STD_LOGIC_1164.all;
entity apagador_escalera is port(
a,b,: in STD_LOGIC;
c: out STD_LOGIC);
end apagador_escalera;
use work.compuerta.all;
architecture estructura of apagador_escalera is
signal x: bit_vector (0 to 1);
begin
End estructura;
XXII.
y = a b + c b + a c
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use work.compuerta.all;
architecture estructura of motor is
signal x: bit_vector (0 to 2);
begin
End estructura;