Sei sulla pagina 1di 584

EZwave

TM
Users and Reference Manual
Release 2009.2
2002-2009 Mentor Graphics Corporation
All rights reserved.
This document contains information that is proprietary to Mentor Graphics Corporation. The original recipient of this
document may duplicate this document in whole or in part for internal business purposes only, provided that this entire
notice appears in all copies. In duplicating any part of this document, the recipient agrees to make every reasonable
effort to prevent the unauthorized use and distribution of the proprietary information.
This document is for information and instruction purposes. Mentor Graphics reserves the right to make
changes in specifications and other information contained in this publication without prior notice, and the
reader should, in all cases, consult Mentor Graphics to determine whether any changes have been
made.
The terms and conditions governing the sale and licensing of Mentor Graphics products are set forth in
written agreements between Mentor Graphics and its customers. No representation or other affirmation
of fact contained in this publication shall be deemed to be a warranty or give rise to any liability of Mentor
Graphics whatsoever.
MENTOR GRAPHICS MAKES NO WARRANTY OF ANY KIND WITH REGARD TO THIS MATERIAL
INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND
FITNESS FOR A PARTICULAR PURPOSE.
MENTOR GRAPHICS SHALL NOT BE LIABLE FOR ANY INCIDENTAL, INDIRECT, SPECIAL, OR
CONSEQUENTIAL DAMAGES WHATSOEVER (INCLUDING BUT NOT LIMITED TO LOST PROFITS)
ARISING OUT OF OR RELATED TO THIS PUBLICATION OR THE INFORMATION CONTAINED IN IT,
EVEN IF MENTOR GRAPHICS CORPORATION HAS BEEN ADVISED OF THE POSSIBILITY OF
SUCH DAMAGES.
RESTRICTED RIGHTS LEGEND 03/97
U.S. Government Restricted Rights. The SOFTWARE and documentation have been developed entirely
at private expense and are commercial computer software provided with restricted rights. Use,
duplication or disclosure by the U.S. Government or a U.S. Government subcontractor is subject to the
restrictions set forth in the license agreement provided with the software pursuant to DFARS 227.7202-
3(a) or as set forth in subparagraph (c)(1) and (2) of the Commercial Computer Software - Restricted
Rights clause at FAR 52.227-19, as applicable.
Contractor/manufacturer is:
Mentor Graphics Corporation
8005 S.W. Boeckman Road, Wilsonville, Oregon 97070-7777.
Telephone: 503.685.7000
Toll-Free Telephone: 800.592.2210
Website: www.mentor.com
SupportNet: supportnet.mentor.com/
Send Feedback on Documentation: supportnet.mentor.com/user/feedback_form.cfm
TRADEMARKS: The trademarks, logos and service marks ("Marks") used herein are the property of
Mentor Graphics Corporation or other third parties. No one is permitted to use these Marks without the
prior written consent of Mentor Graphics or the respective third-party owner. The use herein of a third-
party Mark is not an attempt to indicate Mentor Graphics as a source of a product, but is intended to
indicate a product from, or associated with, a particular third party. A current list of Mentor Graphics
trademarks may be viewed at: www.mentor.com/terms_conditions/trademarks.cfm.
EZwave Users and Reference Manual, 2009.2 3
Table of Contents
Chapter 1
Introduction. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21
Joint Waveform Database (JWDB) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21
A Visual Tour of the EZwave Viewer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22
Waveform List Panel and Workspace. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25
Graph Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25
Event Search Tool. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27
Measurement Tool . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28
Waveform Calculator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29
Whats New for This Release? . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30
Release 2009.2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30
New JWDB Database Size Enhancement. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30
Flow Robustness Enhancements. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30
Waveform Comparison Enhancements. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31
TCL Scripting Enhancements. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31
Compound Waveforms Enhancements. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32
FSDB Read Enhancements. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32
Display Enhancements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32
Graph Export Enhancement . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32
Y-Axis Alignment Enhancement . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32
Chapter 2
Using the EZwave Viewer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33
Chapter 3
Quick Start . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37
Set Up and Load Data . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37
Add Waveforms. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38
Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38
Zoom and Place Cursor. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39
Place the Second Cursor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 40
Insert a Third Cursor. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 40
Post-Processing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 41
Using the Waveform Measurement Tool . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 42
Save and Output Data . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 43
Save Waveform Information. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 43
Save Graph Window Information. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 43
Export Window Data as an Image . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 44
Chapter 4
Set Up and Load Data . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45
Installing the EZwave Application . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45
Table of Contents
4 EZwave Users and Reference Manual, 2009.2
Setting Up Environment Variables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 46
Changing Default Environment Variables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 46
Invoking the Application . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 47
Opening Databases. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 48
Chapter 5
Add Waveforms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 51
Creating Graph Windows . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 51
Creating a New Graph Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52
Selecting and Managing Graph Windows . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52
Easiest Method . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52
Using the Window Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52
Using the Drag and Drop Workspace Taskbar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54
Adding Waveforms to the Graph Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54
Adding a Single Waveform . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54
Adding Multiple Waveforms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55
Drag And Drop Waveforms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 56
Plotting Analog and Digital Waveforms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 57
Plotting Complex-Valued Waveforms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 57
Waveform Plotting Rules . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 58
Adding Multiple Bit Waveforms as a Bus. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 58
Displaying Compound Waveforms in the Graph Window . . . . . . . . . . . . . . . . . . . . . . . . . . 59
Displaying Compound Waveforms. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 59
Viewing or Selecting Individual Waveforms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 60
Displaying Compound Waveforms as Single Elements . . . . . . . . . . . . . . . . . . . . . . . . . . . 61
Using the Parameter Table with Compound Waveforms . . . . . . . . . . . . . . . . . . . . . . . . . . . 62
Invoking the Parameter Table. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 62
Using the Parameter Table . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 63
Copying Waveforms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 64
Right-Click Method . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 64
Drag and Drop Method. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 64
Moving Waveforms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 64
Temporarily Hiding a Waveform. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 64
Deleting Waveforms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 65
Modifying Waveform Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 65
Modifying Properties for a Single Waveform . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 65
Modifying Properties for Multiple Waveforms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66
Chapter 6
Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 67
Adding the Base Cursor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 67
Selecting a Base Cursor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 68
Adding Reference Cursors. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 69
Moving Cursors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 70
Dragging a Cursor. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 70
Locking Cursors Together . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 70
Moving a Cursor to a Specified Location. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 70
Setting Visibility of Cursor Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 70
Table of Contents
EZwave Users and Reference Manual, 2009.2 5
Hiding Cursor Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 70
Showing Cursor Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 71
Showing Y-Level Lines . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 71
Deleting Cursors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 73
Zooming an Area . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 73
Zooming Over an Axis. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 74
Aligning Y Axes With Different Scales . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 74
Using the Event Search Tool . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 76
Performing a Basic Event Search . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 77
Performing an Expression Event Search. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 79
Creating Special Diagrams and Charts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 80
Creating an Eye Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 80
Creating an XY Plot . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 82
Smith Chart . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 83
Using the Cursor Value Table . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 91
Invoking the Cursor Value Table . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 91
Using the Cursor Value Table. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 91
Comparing Waveforms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 92
Using the Waveform Compare Wizard. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 92
Manually Comparing Waveforms. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 99
Viewing Waveform Comparison Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 103
Generating a Waveform Comparison Report . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 105
Viewing and Saving Comparison Rules . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 107
Setting Comparison Options. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 107
The Analog Waveform Comparison Algorithm. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 114
Chapter 7
Post-Processing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 117
Creating a Bus . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 117
Transforming Analog Waveform(s) to Digital . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 119
Transforming Digital Waveform(s) to Analog . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 120
Bus Transformation Setup . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 121
Bit Transformation Setup . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 122
Using the Measurement Tool. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 123
Measurement - Eye Diagram. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 124
Eye Height . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 124
Eye Width . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 125
Measurement - Frequency Domain . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 126
Bandpass . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 126
Gain Margin . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 128
Phase Margin . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 129
Measurement - General . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 130
Average. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 130
Crossing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 131
Intersect. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 132
Local Max. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 133
Local Min . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 134
Maximum . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 135
Table of Contents
6 EZwave Users and Reference Manual, 2009.2
Minimum . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 135
Peak to Peak . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 136
Slope . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 137
Slope Intersect. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 138
YVal . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 139
Measurement - Statistical. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 140
Measurement - Time Domain . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 142
Delay. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 142
Duty Cycle . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 144
Falltime . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 146
Frequency . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 147
Overshoot . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 148
Period . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 149
Pulse Width. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 151
Risetime . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 152
Settle Time . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 153
Slew Rate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 154
Undershoot . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 156
Using the Waveform Calculator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 157
Buttons . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 157
Built-In Functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 158
User-Defined Functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 160
Waveform Calculator Functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 160
Built-In Functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 160
Calculator Functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 169
Using the Measurement Tool Functions in the Waveform Calculator . . . . . . . . . . . . . . . . . 191
Chapter 8
Save and Output Data . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 195
Saving and Restoring Graph Windows . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 195
Saving Graph Windows . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 195
Restoring Graph Windows . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 196
Printing Graph Window(s). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 196
Exporting Graph Windows . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 197
Adding Text Annotations. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 199
Adding Text Annotations to a Waveform. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 199
Adding Text Annotations to the Graph Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 199
Customizing Fonts and Colors. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 199
Saving a Waveform Database . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 200
Saving Multiple Databases . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 201
Recovering Save Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 202
Recovering from Incomplete Simulations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 202
Recovering Incomplete Savefiles . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 203
Saving a JWDB as an ASCII File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 203
Converting a JWDB File to ASCII . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 204
Table of Contents
EZwave Users and Reference Manual, 2009.2 7
Chapter 9
Application Interface Overview. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 205
Application Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 205
Window Elements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 206
Menu Bar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 206
Menu Bar Items. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 207
Toolbar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 213
Waveform List Panel . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 216
Graph Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 218
Workspace. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 220
Application Window Status Bar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 221
Fonts and Colors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 222
Keyboard and Mouse . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 227
Chapter 10
Menus. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 231
File Menu. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 231
Close . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 231
Database List. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 232
Exit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 232
Export . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 233
New. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 233
Open . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 234
Page Setup. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 235
Print. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 236
Reload . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 237
Save. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 237
Edit Menu. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 238
Copy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 238
Copy Window to Clipboard . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 239
Cut. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 239
Delete . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 240
Find . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 240
Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 241
Paste . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 242
Select All. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 242
Undo/Redo . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 243
Unselect All . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 244
View Menu. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 245
Fit Row Heights . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 245
Grid Lines . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 246
Status Bar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 246
Toolbar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 246
View All . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 246
Waveform List . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 247
Zero-Level Lines. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 248
Zoom In. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 248
Zoom Out . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 249
Table of Contents
8 EZwave Users and Reference Manual, 2009.2
Zoom Redo . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 249
Zoom Undo. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 250
Format Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 250
Waveform Names . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 250
Color Scheme . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 251
Tools Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 251
Waveform Compare . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 251
Create Bus. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 253
Eye Diagram. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 254
FFT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 254
Search . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 256
Waveform Calculator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 259
Measurement Tool . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 261
Cursor Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 262
Add (Cursor Menu). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 262
Add with Y-Level (Cursor Menu) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 262
Cursor List (Cursor Menu) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 263
Delete Active (Cursor Menu) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 263
Lock Together When Dragging (Cursor Menu) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 264
Next Data Point (Cursor Menu) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 264
Next Error (Cursor Menu) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 265
Previous Data Point (Cursor Menu) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 266
Previous Error (Cursor Menu) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 266
Zoom Between Cursors (Cursor Menu) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 267
Window Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 267
New. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 267
Title. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 268
Cascade . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 268
Tile Horizontally. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 268
Tile Vertically. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 268
Tile in a Grid. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 268
Restore All . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 268
Minimize All. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 268
Close All . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 268
Window List . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 268
More Windows . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 268
Popup Menus . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 269
Graph Window Popup Menus. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 269
Waveform List Panel Popup Menus . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 274
Waveform Popup Menus . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 279
Workspace Popup Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 280
Chapter 11
Dialog Boxes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 283
Add Clock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 283
Add More Waveforms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 284
Auto Correlation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 284
Correlogram Method. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 285
Table of Contents
EZwave Users and Reference Manual, 2009.2 9
Periodogram Method . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 285
Auto Correlation Fields. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 287
Auto Correlation and Power Spectral Density Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . 289
Correlogram Method. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 289
Periodogram Method . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 290
Auto Correlation and Power Spectral Density Fields. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 291
Axis - Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 293
Axis Range . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 293
Axis Title . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 293
Axis Units . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 293
Axis Scale . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 293
Additional Settings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 294
Axis Title (Fonts and Colors) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 294
Axis Values (Fonts and Colors). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 295
Axis Values (Smith Chart - Fonts and Colors) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 296
Calculator Entry (Fonts and Colors) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 297
Chirp Transform Dialog Box. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 298
Circle Visibility Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 301
Clocks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 301
Comparison Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 302
General Options Tab. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 302
Comparison Method Tab . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 304
AMS Options Tab. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 305
Comparison Method. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 307
Compute Differences Now . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 308
Constellation Diagram Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 309
Convolution Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 311
Convolution Dialog Box Fields . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 312
Create Bus . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 313
Cross Correlation Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 315
Cursor/Marker (Fonts and Colors). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 316
Cursors (EZwave Display Preferences Dialog Box) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 318
Cursor Precision . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 318
Cursor Y Units . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 318
Cursor Delta Y . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 318
Data Format (EZwave Display Preferences Dialog Box) . . . . . . . . . . . . . . . . . . . . . . . . . . . 320
Axis Data Units. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 320
Y Axis Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 321
Notation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 321
Bus Format . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 321
Data Values Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 321
Edit Compatible Units Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 323
Edit Digital Transformation Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 323
Error Vector Magnitude and Bit Error Rate Dialog Box. . . . . . . . . . . . . . . . . . . . . . . . . . . . 325
Understanding EVM and BER Computations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 326
Export Image . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 327
Eye Diagram Tool Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 328
Settings Tab . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 328
Measurement Results Tab. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 330
Table of Contents
10 EZwave Users and Reference Manual, 2009.2
FFT Input Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 332
Source Waveform. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 335
Parameter Setup . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 335
Advanced . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 335
Status Message . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 339
Find Tool . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 339
General (EZwave Display Preferences Dialog Box) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 340
General Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 340
Marching Waveforms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 341
Open Window. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 341
Graphical Memory Management . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 342
Grid (Fonts and Colors) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 342
Harmonic Distortion Dialog Box. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 342
Header Text (Fonts and Colors). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 345
Histogram (Fonts and Colors) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 346
Histogram Dialog Box. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 347
IFFT Input Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 348
Source Waveform. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 349
Parameter Setup . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 349
Advanced . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 350
Sampling . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 350
Data Padding. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 350
Status Message . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 350
Layout (EZwave Display Preferences Dialog Box). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 350
X Axis Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 351
Waveform Name Option. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 351
Measurement Annotation (Fonts and Colors) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 351
Mouse Pointer (EZwave Display Preferences Dialog Box) . . . . . . . . . . . . . . . . . . . . . . . . . 352
Mouse Pointer Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 352
Mouse Strokes. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 352
Move Cursor Dialog Box. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 353
Multiple Run (EZwave Display Preferences) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 353
Phase Noise Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 354
Power Spectral Density . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 355
Correlogram Method. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 355
Periodogram Method . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 356
Power Spectral Density Fields . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 358
Print Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 360
Reorder Workspaces Dialog Box. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 361
To Adjust the Order of Your Workspaces . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 362
RF (EZwave Display Preferences Dialog Box) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 362
Smith Chart . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 362
Smith Chart Cursors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 363
Stability/Noise Circles . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 363
Polar Chart . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 363
Row (EZwave Display Preferences Dialog Box) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 363
Row Height . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 363
Y Axis Range . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 364
Save (EZwave Display Preferences Dialog Box) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 364
Table of Contents
EZwave Users and Reference Manual, 2009.2 11
Save Window Management . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 364
Save As... Management . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 364
Save As . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 366
Location . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 366
Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 366
X Range Setup . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 366
Data Sampling. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 367
Save Windows . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 367
Set Reference Y Axis. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 368
Select Datasets . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 369
Select Hierarchy. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 370
Select Waveforms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 371
Signal to Noise Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 373
Text Annotations (EZwave Display Preferences Dialog Box) . . . . . . . . . . . . . . . . . . . . . . . 375
Hide Annotation Anchor Symbols . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 375
Show Vertical Line at Anchor Position . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 376
Expand Digital Row Height . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 376
Text Annotation (Fonts and Colors) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 376
Transformations (EZwave Display Preferences Dialog Box) . . . . . . . . . . . . . . . . . . . . . . . . 377
Transformation Checkboxes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 377
Supported Digital Waveform Transformations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 378
Supported Complex-Valued Waveform Transformations . . . . . . . . . . . . . . . . . . . . . . . . . 378
Complex-Valued Waveforms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 379
Waveform . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 379
Analog Waveforms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 380
Waveform Calculator (EZwave Display Preferences Dialog Box) . . . . . . . . . . . . . . . . . . . . 380
Calculations. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 380
General . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 380
View . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 382
Waveform Colors (Fonts and Colors) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 383
Waveform Compare. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 383
Update Waveforms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 383
Waveform Compare Wizard . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 384
Waveform List (EZwave Display Preferences Dialog Box) . . . . . . . . . . . . . . . . . . . . . . . . . 385
Waveform Name (Fonts and Colors). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 386
Waveform Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 387
Waveform Properties With One Waveform Selected. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 388
Waveform Properties With Multiple Waveforms Selected . . . . . . . . . . . . . . . . . . . . . . . . 392
Window Background (Fonts and Colors) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 394
Windowing Transform Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 395
Workspace (EZwave Display Preferences Dialog Box) . . . . . . . . . . . . . . . . . . . . . . . . . . . . 399
Workspace Options. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 399
Allow Multiple Workspaces . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 399
Hide Icons for Minimized Windows. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 400
Hide Taskbars . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 400
Location for Tabs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 400
Workspace Background . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 400
X / Y Axis - Min/Max Settings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 400
Min and Max text boxes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 400
Table of Contents
12 EZwave Users and Reference Manual, 2009.2
Zero-Level Line (Fonts and Colors) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 401
Chapter 12
Tutorials. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 403
About the Tutorials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 403
Tutorial 1 - Working with Cursors. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 404
Part 1 - Setting Up and Plotting a Waveform Using the Double-click Method . . . . . . . . . 404
Part 2 - Zooming Actions and Placing the First Cursor . . . . . . . . . . . . . . . . . . . . . . . . . . . 406
Part 3 - Placing the Second Cursor and Locking Cursors Before Dragging. . . . . . . . . . . . 409
Part 4 - Dropping the Third Cursor. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 412
Part 5 - Saving Your Work . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 414
Tutorial 2 - Measuring Pulse Width. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 414
Part 1 - Setting up and Plotting the v_middle Waveform. . . . . . . . . . . . . . . . . . . . . . . . . . 414
Part 2 - Placing a Cursor at the Start and Finish of the First (High) Pulse . . . . . . . . . . . . . 416
Part 3 - Measure the Low Pulse Width. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 418
Part 4 - Comparing Pulse Widths of Two Waveforms and Saving Your Work. . . . . . . . . 419
Tutorial 3 - Complex Waveforms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 421
Part 1 - Setting Up and Plotting the v_load Waveform . . . . . . . . . . . . . . . . . . . . . . . . . . . 421
Part 2 - Adding a New Waveform and Moving Waveforms . . . . . . . . . . . . . . . . . . . . . . . 422
Part 3 - Changing Transformations with the Popup Menu . . . . . . . . . . . . . . . . . . . . . . . . . 423
Part 4 - Setting Default Transformations Using the Options Dialog Box . . . . . . . . . . . . . 425
Part 5 - Changing Axis Settings from Degrees to Radians. . . . . . . . . . . . . . . . . . . . . . . . . 427
Part 6 - Create the Phase Analysis Window and Save Your Work . . . . . . . . . . . . . . . . . . 428
Tutorial 4 - Post Processing Data - Analyzing Timing Margins . . . . . . . . . . . . . . . . . . . . . . 430
Part 1 - Setting Up and Plotting a Waveform Using the Double-click Method . . . . . . . . . 430
Part 2 - Measuring Waveform Values Using the Measurement Tool. . . . . . . . . . . . . . . . . 433
Part 3 - Calculating and Plotting the Jitter Analysis Using the Waveform Calculator. . . . 434
Appendix A
Eldo Simulation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 437
Collecting Eldo Simulation Data . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 437
Scenario 1: Run Eldo With EZwave . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 437
Scenario 2: Complete Eldo Simulation and View Simulation Data Later. . . . . . . . . . . . . . . 438
EZwave Reload Option. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 438
Scenario 3: Manual Status Update. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 439
Scenario 4: Marching Update . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 440
Appendix B
Tcl Scripting Support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 441
Tcl Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 441
Tcl Command Syntax Rules . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 442
If Command Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 444
Set Command Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 445
Command Substitution . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 445
Variable Substitution . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 446
Tcl List Processing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 446
Supported Tcl Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 448
Specifying Waveforms in Tcl . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 453
Table of Contents
EZwave Users and Reference Manual, 2009.2 13
add wave . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 455
add workspace. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 461
batch_mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 462
compare add . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 463
compare clock. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 467
compare configure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 468
compare end . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 471
compare info . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 472
compare options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 473
compare run . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 477
compare savelog . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 478
compare start . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 479
dataset alias . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 480
dataset clear. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 481
dataset close . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 482
dataset info . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 483
dataset list . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 484
dataset merge . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 485
dataset open. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 486
dataset rename. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 487
dataset save . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 488
dataset savewaveforms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 489
delete wave . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 490
dofile . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 491
environment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 492
evalExpression . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 493
exit. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 494
getactivecursortime. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 495
printenv . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 496
quit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 497
radix . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 498
setenv . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 499
unsetenv . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 500
wave activecursor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 501
wave activeworkspace . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 502
wave addannotation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 503
wave addcursor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 505
wave addline . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 506
wave addmarker . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 507
wave addproperty . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 508
wave addwindow . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 509
wave addworkspace . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 510
wave closewindow . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 511
wave cursortime . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 512
wave deletecursor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 513
wave displayed . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 514
wave import . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 515
wave lockcursor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 516
wave refresh . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 517
Table of Contents
14 EZwave Users and Reference Manual, 2009.2
wave show. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 518
wave tile . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 519
wave windowlist . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 520
wave xaxis. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 521
wave zoomfull. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 522
wave zoomin. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 523
wave zoomlast. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 524
wave zoomout . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 525
wave zoomrange . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 526
wfc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 527
write jpeg . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 528
write png . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 529
write wave. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 530
External Tcl Command Support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 531
Tcl Scripting Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 537
Tcl Waveform Calculator Batch Commands Example. . . . . . . . . . . . . . . . . . . . . . . . . . . . 537
Creating a User-Defined Function With Tcl Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . 541
Waveform Comparison With Tcl Examples. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 543
Appendix C
Supported Net Representation Components . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 545
representation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 545
Examples. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 548
Appendix D
Troubleshooting . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 549
Known Problems and Workarounds . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 549
Linux Printing Notes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 550
If You Are Using LPRNG . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 551
If You Are Using CUPS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 552
Multi-Threading on Linux . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 553
Out of Memory . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 554
Logfiles . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 555
Logfile Locations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 555
System Error Codes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 556
Contacting the Customer Support Center . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 561
Training Classes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 562
Your comments. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 562
Glossary
Index
Third-Party Information
End-User License Agreement
EZwave Users and Reference Manual, 2009.2 15
List of Figures
Figure 1-1. EZwave Main Interface. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24
Figure 1-2. Waveform List Panel and Workspace. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25
Figure 1-3. Graph Windows . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26
Figure 1-4. Example Cursor. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27
Figure 1-5. Event Search Tool . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28
Figure 1-6. Measurement Tool . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29
Figure 1-7. Waveform Calculator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30
Figure 2-1. Process Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33
Figure 5-1. Workspace Tab . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54
Figure 5-2. Multiple Bit Waveforms as a Bus . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 59
Figure 5-3. Graph Window With a Waveform . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 60
Figure 5-4. Popup Menu From a Waveform . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 61
Figure 5-5. Compound Waveforms as Single Elements With Run Parameters . . . . . . . . . . 62
Figure 5-6. Parameter Table . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 63
Figure 6-1. The Base Cursor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 68
Figure 6-2. Reference Cursors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 69
Figure 6-3. Waveform-Based Delta Ys . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72
Figure 6-4. Cursor-Based Delta Ys . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72
Figure 6-5. Zooming an Area. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 73
Figure 6-6. Zooming Over an Axis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 74
Figure 6-7. Overlaid Waveforms With Different Y Axes Scales . . . . . . . . . . . . . . . . . . . . . 75
Figure 6-8. Realigned Y Axes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 75
Figure 6-9. Set Reference Y Axis Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 76
Figure 6-10. The Event Search Tool . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 77
Figure 6-11. Rising Edge Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 78
Figure 6-12. Falling Edge Dialog Box. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 79
Figure 6-13. Eye Diagram Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 81
Figure 6-14. Smith Chart Impedance Display . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 85
Figure 6-15. Smith Chart Admittance Display . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 86
Figure 6-16. Polar Chart Display. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 88
Figure 6-17. Cursor Value Display . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 88
Figure 6-18. Cursor in a Smith Chart. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 89
Figure 6-19. Multiple-Circle Plot and Circle Visibility Option. . . . . . . . . . . . . . . . . . . . . . . 90
Figure 6-20. Highlighted Circle. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 90
Figure 6-21. Cursor Value Table . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 91
Figure 6-22. The Waveform Compare Wizard Flow. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 93
Figure 6-23. Waveform Compare Wizard, Selecting Datasets . . . . . . . . . . . . . . . . . . . . . . . 94
Figure 6-24. Waveform Compare Wizard, Comparison Method Selection . . . . . . . . . . . . . 95
Figure 6-25. Waveform Compare by Waveform. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 96
Figure 6-26. Add Waveforms Dialog Box. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 96
List of Figures
16 EZwave Users and Reference Manual, 2009.2
Figure 6-27. Waveform Compare by Hierarchy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 98
Figure 6-28. A Selected Hierarchy in the Waveform List . . . . . . . . . . . . . . . . . . . . . . . . . . . 98
Figure 6-29. Waveform Comparison Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 99
Figure 6-30. Select Datasets Dialog Box. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 100
Figure 6-31. Select Waveforms Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 101
Figure 6-32. Waveform Compare by Hierarchy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 102
Figure 6-33. Waveform Comparison Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 103
Figure 6-34. Stepping Through Waveform Differences With a Cursor . . . . . . . . . . . . . . . . 104
Figure 6-35. Display Tolerance Tube Menu Item. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 105
Figure 6-36. Graph Window Showing Tolerance Tube. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 105
Figure 6-37. Comparison Options, General Options Tab . . . . . . . . . . . . . . . . . . . . . . . . . . . 108
Figure 6-38. Comparison Options, Comparison Method Tab . . . . . . . . . . . . . . . . . . . . . . . . 109
Figure 6-39. Clocks Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 111
Figure 6-40. Add Clocks Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 111
Figure 6-41. Waveform Compare AMS Options. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 112
Figure 6-42. Waveform Compare Showing Tolerance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 113
Figure 6-43. Calculations on the Reference Waveform. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 114
Figure 6-44. Tolerance Tube . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 115
Figure 6-45. Data Points Outside of the Tolerance Tube . . . . . . . . . . . . . . . . . . . . . . . . . . . 116
Figure 7-1. Create Bus Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 118
Figure 7-2. Analog to Digital Conversion Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 120
Figure 7-3. Resulting Analog Waveform Options. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 121
Figure 7-4. Bus Transformation Setup Options. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 121
Figure 7-5. Bit Transformation Setup Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 122
Figure 7-6. Calculation of the Average Value of a Waveform . . . . . . . . . . . . . . . . . . . . . . . 131
Figure 7-7. Mean Value of a Waveform Calculation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 142
Figure 7-8. The RMS AC Calculation: . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 142
Figure 7-9. The RMS Noise Calculation: . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 142
Figure 7-10. The RMS Tran Calculation : . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 142
Figure 7-11. Built-In Waveform Calculator Functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 159
Figure 8-1. Export Image Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 198
Figure 9-1. The EZwave Graphical User Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 205
Figure 9-2. EZwave Toolbar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 213
Figure 9-3. Waveform List Panel. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 217
Figure 9-4. EZwave Graph Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 219
Figure 9-5. Workspace Tabs and Popup Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 220
Figure 9-6. Workspace Taskbar. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 221
Figure 10-1. Create Bus Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 253
Figure 10-2. Waveform Calculator With Button Panel . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 259
Figure 10-3. Graph Window Popup Menus. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 269
Figure 10-4. Cursor Value Popup Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 273
Figure 10-5. Cursor Value Popup Menu (Compound Waveforms). . . . . . . . . . . . . . . . . . . . 274
Figure 10-6. Waveform List Popup Menu. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 274
Figure 10-7. Database Popup Menu. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 276
Figure 10-8. Waveform Popup Menu (Waveform List Panel) . . . . . . . . . . . . . . . . . . . . . . . 278
List of Figures
EZwave Users and Reference Manual, 2009.2 17
Figure 11-1. Add Clocks Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 283
Figure 11-2. Add More Waveforms Page of the Waveform Compare Wizard. . . . . . . . . . . 284
Figure 11-3. Correlogram Method. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 285
Figure 11-4. Periodogram Method. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 286
Figure 11-5. Auto Correlation Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 287
Figure 11-6. Correlogram Method. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 289
Figure 11-7. Periodogram Method. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 290
Figure 11-8. Auto Correlation and Power Spectral Density Dialog Box . . . . . . . . . . . . . . . 291
Figure 11-9. Chirp Transform Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 299
Figure 11-10. Circle Visibility Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 301
Figure 11-11. Clocks Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 302
Figure 11-12. General Options Tab . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 303
Figure 11-13. Comparison Options, Comparison Method Tab . . . . . . . . . . . . . . . . . . . . . . . 304
Figure 11-14. Waveform Compare AMS Options. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 305
Figure 11-15. Waveform Compare Showing Tolerance . . . . . . . . . . . . . . . . . . . . . . . . . . . . 306
Figure 11-16. Comparison Method Page of the Waveform Compare Wizard . . . . . . . . . . . 308
Figure 11-17. Compute Differences Now Page of the Waveform Compare Wizard . . . . . . 309
Figure 11-18. Constellation Diagram Dialog Box. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 310
Figure 11-19. Convolution Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 312
Figure 11-20. Create Bus Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 314
Figure 11-21. Cross Correlation Dialog Box. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 316
Figure 11-22. Waveform-Based Delta Y. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 319
Figure 11-23. Cursor-Based Delta Y. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 320
Figure 11-24. Data Values Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 322
Figure 11-25. Edit Compatible Units Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 323
Figure 11-26. Edit Digital Transformation Dialog Box. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 324
Figure 11-27. Error Vector Magnitude and Bit Error Radio Dialog Box . . . . . . . . . . . . . . . 325
Figure 11-28. Export Image Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 327
Figure 11-29. Settings Tab in Eye Diagram Tool . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 328
Figure 11-30. Measurement Results Tab in Eye Diagram Tool . . . . . . . . . . . . . . . . . . . . . . 330
Figure 11-31. Left and Right Crossing Points and Vertical Band. . . . . . . . . . . . . . . . . . . . . 331
Figure 11-32. Fast Fourier Transform Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 334
Figure 11-33. The Find Tool . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 339
Figure 11-34. Harmonic Distortion Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 344
Figure 11-35. Histogram Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 347
Figure 11-36. Inverse Fast Fourier Transform Dialog Box. . . . . . . . . . . . . . . . . . . . . . . . . . 349
Figure 11-37. Move Cursor Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 353
Figure 11-38. Phase Noise Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 354
Figure 11-39. Correlogram Method. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 356
Figure 11-40. Periodogram Method. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 357
Figure 11-41. Power Spectral Density Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 358
Figure 11-42. Print Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 361
Figure 11-43. Reorder Workspaces Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 362
Figure 11-44. Save Windows Dialog Box. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 367
Figure 11-45. Set Reference Y Axis Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 368
List of Figures
18 EZwave Users and Reference Manual, 2009.2
Figure 11-46. Select Datasets Dialog Box. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 369
Figure 11-47. Select Hierarchy Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 370
Figure 11-48. Select Waveforms Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 372
Figure 11-49. Signal to Noise Ratio Dialog Box. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 374
Figure 11-50. The Waveform Compare Wizard Flow. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 385
Figure 11-51. Appearance Tab for an Analog Waveform. . . . . . . . . . . . . . . . . . . . . . . . . . . 388
Figure 11-52. Appearance Tab for a Digital Waveform . . . . . . . . . . . . . . . . . . . . . . . . . . . . 389
Figure 11-53. Parameters Tab . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 390
Figure 11-54. Transformations Tab. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 391
Figure 11-55. Analog Waveform Properties Tab . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 392
Figure 11-56. Digital Waveform Properties Tab. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 393
Figure 11-57. Radix Waveform Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 394
Figure 11-58. Windowing Transform Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 398
Figure B-1. Graphical Representation of tolLead and tolTrail . . . . . . . . . . . . . . . . . . . . . . . 464
Figure B-2. Graphical Representation of tolLead and tolTrail . . . . . . . . . . . . . . . . . . . . . . . 469
Figure B-3. Graphical Representation of tolLead and tolTrail . . . . . . . . . . . . . . . . . . . . . . . 474
Figure B-4. User-Defined Function Loaded in the Waveform Calculator . . . . . . . . . . . . . . 542
EZwave Users and Reference Manual, 2009.2 19
List of Tables
Table 4-1. EZwave Environment Variables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 46
Table 4-2. Changeable Environment Variables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 47
Table 4-3. EZwave Command Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 47
Table 4-4. Supported File Types . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49
Table 7-1. Measurement Tool Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 123
Table 7-2. Built-In Complex Functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 161
Table 7-3. Built-In Logic Functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 162
Table 7-4. Built-In Mathematical Functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 163
Table 7-5. Built-In Measurement Functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 164
Table 7-6. Miscellaneous Built-In Functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 164
Table 7-7. Built-In RF Functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 166
Table 7-8. Built-In Signal Processing Functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 166
Table 7-9. Built-In Statistical Functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 168
Table 7-10. Built-In Trigonometric Functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 168
Table 7-11. Complex Functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 170
Table 7-12. Logical Functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 172
Table 7-13. RF Functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 178
Table 7-14. Statistical Functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 180
Table 7-15. Signal Processing Functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 182
Table 7-16. Trigonometric Functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 188
Table 9-1. File Menu Items . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 207
Table 9-2. Edit Menu Items . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 208
Table 9-3. View Menu Items . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 209
Table 9-4. Format Menu Items . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 210
Table 9-5. Tools Menu Items . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 210
Table 9-6. Cursor Menu Items . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 211
Table 9-7. Window Menu Items . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 212
Table 9-8. Help Menu Items . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 213
Table 9-9. EZwave Toolbar Buttons . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 214
Table 9-10. Waveform List Element Icons . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 218
Table 9-11. Frequently Used Keyboard Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 227
Table 9-12. Mouse Strokes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 228
Table 10-1. Supported File Types . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 234
Table 10-2. Options (Edit Menu) Items . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 241
Table 10-3. Measurement Tool Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 261
Table 10-4. Right-Click Popup Menus . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 269
Table 10-5. Graph Window Popup Menu Items . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 270
Table 10-6. Row Popup Menu Items . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 270
Table 10-7. Axis Popup Menu Items . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 272
Table 10-8. Cursor Value Popup Menu Items . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 273
List of Tables
20 EZwave Users and Reference Manual, 2009.2
Table 10-9. Cursor Value Popup Menu Items (Compound Waveforms) . . . . . . . . . . . . . . . 274
Table 10-10. Waveform List Popup Menu Items . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 275
Table 10-11. Database Popup Menu Items . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 276
Table 10-12. Folder Popup Menu Items . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 277
Table 10-13. Hierarchy Popup Menu Items . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 278
Table 10-14. Waveform Popup Menu Items (Waveform List Panel) . . . . . . . . . . . . . . . . . . 278
Table 10-15. Waveform Popup Menu Items . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 279
Table 10-16. Workspace Popup Menu Items . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 280
Table 11-1. Transformation Checkboxes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 377
Table 11-2. Emacs-Like Key Bindings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 382
Table 11-3. Transformation Checkboxes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 391
Table B-1. Tcl Backslash Sequences . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 443
Table B-2. Tcl List Processing Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 446
Table B-3. Supported Tcl Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 449
Table B-4. QuestaSim Command Support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 531
Table B-5. Questa ADMS Command Support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 536
Table C-1. Supported analysis Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 546
Table C-2. Supported discipline Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 546
Table C-3. Supported primary_physic Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 548
Table D-1. Characters to Avoid in Logfiles . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 555
Table D-2. System Error Codes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 556
Table D-3. Contacting the Customer Support Center . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 561
EZwave Users and Reference Manual, 2009.2 21
Chapter 1
Introduction
The EZwave
TM
viewer provides dynamic graphical display of data produced by a variety of
Mentor Graphics applications.
This program is designed to support observation and investigation of signal transition, "what-if
analysis", or other areas of interest. Analog, digital and mixed-signal displays can be zoomed to
a specified enlargement magnification, scrolled along the horizontal axis and measured between
transition points (through the use of cursors and other featured utilities). Waveforms can be
dragged up and down within the graph window or overlaid for comparison display. Minimum
and maximum axis values and data scale can be changed easily. Additionally, the viewer
supports dual axis display in support of overlaid plots.
You can easily view a single database or multiple databases in a single session. An advanced
graphical user interface (GUI) supports this viewing of multiple waveforms and databases
through multiple graph windows, tabbed workspaces for easy access, and a Waveform List
panel that displays the database in both a hierarchical (tree) format or flat list format. You may
save the database along with your graph windows at any time for later viewing. Displayed
waveforms can be printed locally, copied to a clipboard for insertion as a graphical object in
other documents, or saved as a .jpg file.
This introductory chapter contains the following:
Joint Waveform Database (JWDB)
A Visual Tour of the EZwave Viewer
Whats New for This Release?
Joint Waveform Database (JWDB)
The EZwave viewer obtains waveform data by loading a database. By default, the EZwave
viewer uses the Joint Waveform DataBase (JWDB) as its input format. Waveform data is
collected from an analog/mixed-signal (AMS) simulator and stored in the JWDB, where it can
later be loaded into the EZwave viewer. In the EZwave viewer, you can view a single database
or multiple databases in a single session.
JWDB is a true mixed-signal waveform database. It can hold many different waveform types,
including analog (float, double or complex), histogram, spectral, scatter, Verilog, standard
logic, VHDL char, buses and records, bit, boolean, string, integer (16, 32, or 64 bits) and user-
defined enumerated types. X-values can either be 64-bit integers or double-precision floating-
EZwave Users and Reference Manual, 2009.2 22
Introduction
A Visual Tour of the EZwave Viewer
point numbers. It can contain signals fromthe time and frequency domains, or any other domain
that is needed.
JWDB is also a multi-run database. Waveforms and buses are stored, managed, and analyzed as
compound waveforms. In addition to compound waveforms, JWDB has hierarchies which allow
waveforms to be placed in folders for further data management.
Once you invoke the EZwave viewer, you can load the database by selecting File > Open. The
waveform information appears in the left pane (also known as the Waveform List Panel) where
it can be viewed, analyzed, and post-processed by utilities provided by the EZwave user
interface.
To find out the new features of this product, check What's New?
To get a feel for the EZwave Viewer, take the visual tour.
To begin with the basics, start with the Quick Start.
A Visual Tour of the EZwave Viewer
The EZwave viewer uses an advanced graphical user interface that supports viewing multiple
waveforms and databases through:
A Waveform List panel displaying the database in either a hierarchical (tree) format or
flat (list) format
The ability to add waveforms to multiple Graph windows
Tabbed Workspaces for organizing windows
You can save the database along with your Graph windows at any time for later viewing.
Displayed waveforms can also be printed locally.
Waveforms can be dragged up and down within the Graph window or overlaid for comparison
display. Minimum and maximum axis values and data scale can be changed easily.
Additionally, the viewer provides dual-axis display to support overlaid plots.
The EZwave viewer also facilitates with signal transformation utilities that can be used to
analyze and verify analog, digital, and mixed-signal designs. Waveforms in voltage can be
converted to logic states. A digital bus can be split to individual bits, and multiple bits can be
combined to forma digital bus. Waveforms in the time domain can be transformed to frequency
domain and waveforms in the frequency domain can be transformed back to time. The EZwave
viewer can also display a histogram of a waveform (as well as other statistical measurements).
Figure 1-1 describes the main EZwave interface.
The sections following describe important elements of the EZwave interface in greater detail,
including:
Introduction
A Visual Tour of the EZwave Viewer
EZwave Users and Reference Manual, 2009.2 23
Waveform List Panel and Workspace
Graph Window
Cursors
Event Search Tool
Measurement Tool
Waveform Calculator
The complete interface description can be found in the Application Interface Overview
section.
EZwave Users and Reference Manual, 2009.2 24
Introduction
A Visual Tour of the EZwave Viewer
Figure 1-1. EZwave Main Interface
Load Data: Select File > Open to
open a database containing waveform
data. Typically, this is in JWDB format.
Save Data: Save your active
Graph window data to a Save
Window file (.swd) by selecting File >
Save.
Post-Process Data: After
collecting waveform data, use tools
provided to help you post-process
this data. They include the Waveform
Calculator (accessed from the Tools
menu or icon bar).
Add
Waveforms: Once
the database is
loaded, the
hierarchy appears in
the top panel, and
the waveforms
appear in the bottom
panel.
Drag waveforms
from this Waveform
List Panel and drop
them into the open
Workspace to invoke
a new Graph
Window (or drop
theminto an existing
Graph window for a
multi-row display or
overlay).
Analyze Waveforms: Add
Cursors to measure different points in
the waveforms.
1
2
3
4
5
Right Mouse Menus: As a shortcut to
EZwave actions, right-mouse click on
different areas of the EZwave interface
to invoke a popup menu of options
related to that areas context.
Mouse Strokes: Use the middle
mouse button to perform tasks by
simply drawing shapes (see the
Help > Keyboard and Mouse help
topic for a complete list) using the
mouse. For example, drawing the
letter "D" deletes the current set of
selected objects.
Introduction
A Visual Tour of the EZwave Viewer
EZwave Users and Reference Manual, 2009.2 25
Waveform List Panel and Workspace
The Waveform List panel resides on the left side of the application window, below the menu
bar. The waveformlist displays all of the currently open databases as folders with folders or the
individual waveforms listed underneath.
The Workspace is the area where the Graph windows are displayed. It is located directly below
the toolbar on the application window. You can move, resize, minimize, and restore each Graph
window that is displayed on the Workspace. From the Window pull-down menu, you can
manage the windows in a tiled or cascaded style.
Figure 1-2. Waveform List Panel and Workspace
Graph Window
The Graph window is used to plot and view waveforms. A waveform is a collection of values
along a time continuum, frequency, or other domain axis. The axis is referred to as the domain,
and the values positioned along the axis are the range. Waveforms can be dragged up and down
within the Graph window or overlaid for comparison display. Minimum and maximum axis
values and data scale can be changed easily. Additionally, the viewer supports dual axis display
in support of overlaid plots. You can arrange graph windows within a workspace into a cascade
or a variety of tilings for easier viewing and printing.
Graph windows appear when you drag waveform icons from the left Waveform List panel into
the EZwave Workspace. You can have a single waveform in a Graph window, multiple
waveforms overlaid in a Graph window, or multiple rows of waveforms in a single Graph
window.
Each waveform list
element is associated
with an icon indicating
how the waveform will
be displayed within the
Graph Window.
You can define multiple
Workspaces by right-clicking an
open workspace and choosing
New from the popup menu.
All new Workspaces can be
accessed from the tabs at the
bottom of the pane.
Use these tabs to switch between
a flat display (List tab) or a
hierarchical format (Tree tab).
Search for specific
waveforms by entering
the waveform name in
this text entry field.
The Structure List
shows the database in
a hierarchical view.
The associated
waveforms are listed
below.
EZwave Users and Reference Manual, 2009.2 26
Introduction
A Visual Tour of the EZwave Viewer
Graph windows can display different types of waveforms. Digital waveforms displayed on a
Graph window are called Trace rows. Analog waveforms are displayed in Graph rows.
Examples of both are shown in Figure 1-3.
Figure 1-3. Graph Windows
Cursors
A cursor is a special on-screen indicator, such as a vertical line, drawn in the Graph window
waveform display area to identify locations or create a point for measurement. The first cursor
Individual waveform
names are listed in
this right pane.
Use Format >
Waveform Names to
control how much
information is shown.
Graph Rows: These
are rows that display
analog waveforms
Each point on an
analog waveform
represents a
specifically graphed
data point (for
instance, showing
voltage versus time).
Drag your mouse pointer on an
axis to zoom in on a waveform.
To reverse the action, click the
Undo Zoom button on the main
toolbar.
You can then add Cursors to
measure points or lengths of a
waveform.
Check here to see
the current X Y
coordinates of your
mouse pointer
(analog waveforms
can be displayed
with dual Y-axes).
If you click on a
waveform, it also
shows the distance
between the point
clicked and your
previous location
(shown as deltaX
and deltaY).
Trace Rows: These
are rows that display
digital waveforms.
Digital waveforms
display only logic
states (on/off, hi/low,
and so on).
Introduction
A Visual Tour of the EZwave Viewer
EZwave Users and Reference Manual, 2009.2 27
created is known as the base (reference) cursor. Multiple cursors can be added to show data
points as well as interpolated values between data points and the delta between the base cursor.
Figure 1-4. Example Cursor
Event Search Tool
Select Tools > Search to invoke the Event Search Tool. This tool enables you to locate
occurrences of simulation events interactively. An event is a definition of specific states (or
values) for a single waveform or a collection of waveforms. To define an event, you need to
select a set of waveforms and specify the states (or values) you want them to have.
The value of the
cursor is shown in
this box called a
Value Flag.
You can set a base cursor, then add additional
cursors to measure values in between using the
Measurement Tool. The current cursor is highlighted
in gold, while others are set as dotted lines.
To add a cursor,
place your mouse
near a point on the
waveform and right-
click to display a
popup menu, then
select Add Cursor.
You can zoom in on
a waveform to
improve visibility.
1
2
3
Markers: Using the Event Search Tool (accessed
from the Tools > Search option), you can place
markers (indicated by a red triangle) on cursors,
allowing you to anchor locations to jump between.
EZwave Users and Reference Manual, 2009.2 28
Introduction
A Visual Tour of the EZwave Viewer
Figure 1-5. Event Search Tool
Measurement Tool
Select Tools > Measurement Tool... to invoke the Measurement Tool. This tool allows you to
perform a variety of analog and mixed-signal measurement operations on those waveforms
displayed in the Graph window. The results of the measurements can be annotated in the Graph
window along with the measured waveforms. You can use the Measurement Tool with analog
or digital waveforms, as long as the measurement operation is applicable to the selected
waveforms. The measurement operations are divided into categories, such as general, time-
domain, frequency-domain, and statistical.
The results of some measurements produce other waveforms. The EZwave Measurement Tool
allows you the option of creating and plotting the result waveform in the active Graph window.
Enter the name of the event you
want to search for in this area.
Set Markers: Use this area to set
up markers on Cursors. This allows
you to set fixed points on a
particular waveform in the Graph
window.
Select a waveform from the
Graph Window to be searched.
You can search this waveform for
a rising or falling event or a specific
waveform value.
Logical Expression Search: If
you are searching for a logical
expression, enter the logical
expression here. You can also use
invoke the Waveform Calculator to
enter a logical expression.
1
2
Introduction
A Visual Tour of the EZwave Viewer
EZwave Users and Reference Manual, 2009.2 29
Figure 1-6. Measurement Tool
Waveform Calculator
Select Tools > Waveform Calculator to invoke the Waveform Calculator. This tool is an
integral part of post-processing and viewing the analog, digital, and mixed-signal simulation
results. It can optimize the time it takes to analyze large amounts of simulation data. It also
supports a number of charting and analysis features that may be required by a wide range of
users.
In a Graph window, select insert
two cursors on a waveform, then
select Tools > Measurement Tool
from the EZwave menu bar.
In the Measurement Tool, select
the waveform, then choose what
type of measurement you need (in
this example, Peak to Peak is
chosen).
Other types of measurements are
available from these pulldown
menus.
The results appear highlighted in
the Graph window.
1
2
Select the waveform area in which
to apply the measurement. In this
case, it is the length between the
two cursors.
3
4
EZwave Users and Reference Manual, 2009.2 30
Introduction
Whats New for This Release?
Figure 1-7. Waveform Calculator
Whats New for This Release?
Release 2009.2
New JWDB Database Size Enhancement
The size of the JWDB file on disk has been reduced by 2x on average, for a typical
ADiT simulation.
Flow Robustness Enhancements
When the EZwave tool is displaying waveforms, and a new simulation is run, the
EZwave tool now opens a dialog box with the following:
Another simulation run is now overwriting the waveform database you were
viewing. Choose continue to display the new data.
Select a waveform
from the Waveform
List Panel and use
drag and drop to bring
it to the expression
entry area.
Build an expression by clicking on these
function and operator buttons. Click the
Eval button to evaluate the expression.
The built expression
appears in this area,
as well as a command
history.
A description and syntax of the
function you are currently using
appears in this area. If this panel is
not visible, enable it by selecting
the View > Function Help option.
Switch between different types of
calculator functions (such as
complex, logical, RF, statistical,
signal processing, and trigonometric)
by selecting from this pulldown.
Introduction
Whats New for This Release?
EZwave Users and Reference Manual, 2009.2 31
The check box Dont show this to me again, will automatically display the data in the
future.
The EZwave tool now reloads the WDB database from disk when the JWDB server is
killed during a simulation. If the database is not in a readable state, then the window
configuration is saved and you are warned that you can re-use the window configuration
at a later time.
When the Eldo tool is used through LSF, and the EZwave tool is displaying waveforms
during simulation, the following is true:
o The JWDB server now detects that it is being used through LSF by looking for an
environment variable: LSB_JOBID.
o The EZwave tool will reload data from disk at the end of the simulation. This will
avoid losing the waveform display if the JWDB server is killed at the end of
simulation (for example, when LSF is used in combination with the -Is option). It
will release the LSF license at the end of the simulation.
o An environment variable AMS_LSF_NOCHECK disables this default behavior
Waveform Comparison Enhancements
The compare algorithm performance has been improved, between 2X and 10X.
The compare algorithm now supports comparison of step signals, such as real
signal.
Ability to display only comparison results containing differences. This is particularly
useful when dealing with large designs.
Ability to save Tcl comparison commands used for comparison (save rules through
GUI or Tcl command).
TCL Scripting Enhancements
The EZwave tool can be run with the option -tclprompt. This opens a prompt in the
terminal, enabling you to type Tcl commands directly at the prompt.
The default format for saving windows is now Tcl. When the EZwave tool is run for the
first time or if the .ezwave preference directory does not exist, saving windows will
default to Tcl format. This can be changed in the Save Windows dialog box upon saving.
To change the default save format, Use the Edit > Options > Save dialog box.
EZwave Users and Reference Manual, 2009.2 32
Introduction
Whats New for This Release?
Compound Waveforms Enhancements
The EZwave tool can now display the elements of compound waveforms as distinct
overlaid elements. In addition, parameter name=value will also be appended to the
waveform names.
Newoptions enable compound waveforms to be formatted as an overlay of its individual
elements, or with all individual elements in different colors.
FSDB Read Enhancements
The EZwave tool can now can read files with an fsdb0 extension.
The EZwave tool now automatically decompresses GZIP FSDB files.
Display Enhancements
The EZwave tool can now use monochrome as the default color scheme.
The color scheme for display is now saved in the settings.properties file.
Graph Export Enhancement
The File > Export dialog box has been enhanced to enable the exporting of tiled
windows to a single PNG or JPEG file for all visible windows.
Y-Axis Alignment Enhancement
It is now possible to align Y axes levels to another Y axis reference level. You can
specify levels to align and choose spacing for each Y axis in order to align major ticks.
These settings are preserved when zooming.
EZwave Users and Reference Manual, 2009.2 33
Chapter 2
Using the EZwave Viewer
Figure 2-1. Process Overview
EZwave usage can be organized into five distinct stages:
Stage 1: Set Up and Load Data
Steps to begin using the EZwave program include:
1. Installing the EZwave Application
2. Setting Up Environment Variables
3. Invoking the Application
4. Opening a Database
5. Eldo Simulation
The chapter, Set Up and Load Data, describes these tasks in detail.
Stage 2: Add Waveforms
Add or select specific waveforms for viewing and analysis. These waveforms can be
stacked, overlaid, zoomed, and annotated through the use of keyboard shortcuts, drag-
and-drop, mouse clicks and strokes, and menu items. Typical tasks include:
Creating Graph Windows
Selecting and Managing Graph Windows
Adding Waveforms to the Graph Window
Plotting Analog and Digital Waveforms
Displaying Compound Waveforms
Hiding a Waveform
Deleting Waveforms
The chapter, Add Waveforms, describes these tasks and others in detail.
Add Waveforms Set Up and Load
Data
Analysis Post-Processing Save and Output
Data
EZwave Users and Reference Manual, 2009.2 34
Using the EZwave Viewer
Stage 3: Analysis
Measure, analyze, and annotate datapoints or logic units that are represented in the
waveforms. Multiple simulations can be run and the data is saved for additional
analysis and reuse. Multiple cursors can be added to show data points as well as
interpolated values between data points.
Typical tasks include:
Adding the Base Cursor
Adding Reference Cursors
Setting Visibility of Cursor Values
Deleting Cursors
Zooming an Area
Zooming Over an Axis
Using the Event Search Tool
Creating an Eye Diagram
Creating an XY Plot
Using the Cursor Value Table
The chapter, Analysis, describes these tasks and others in detail.
Stage 4: Post-Process
After analyzing the simulator output data, the EZwave tool provides a number of
powerful utilities for processing the data and transforming the raw data to specific
characteristic information. Using tools like the Waveform Measurement Tool and the
Waveform Calculator, the user can perform sophisticated calculations with a
combination of built-in or user-defined arithmetic (such as log, sin, cos) and logical
(such as AND, OR, XOR) functions. The calculation results can be waveforms, vectors,
or scalar values.
Typical tasks include:
Forming a Bus
Transforming Analog Waveforms to Digital
Transforming Digital Waveforms to Analog
Using the Measurement Tool
Using the Waveform Calculator
The chapter, Post-Processing, describes these tasks in detail.
Using the EZwave Viewer
EZwave Users and Reference Manual, 2009.2 35
Stage 5: Save and Output Data
Save and/or output results to a disk file in either JWDB format or user-defined ASCII
format. You can also add text annotations to your waveforms.
Typical tasks include:
Saving and Restoring Graph Windows
Printing a Graph Window
Exporting Graph Windows
Adding Text Annotations
Saving a Waveform Database
Saving Multiple Databases
Saving a Waveform Database as an ASCII File
The chapter, Save and Output Data, describes these tasks in detail.
EZwave Users and Reference Manual, 2009.2 36
Using the EZwave Viewer
EZwave Users and Reference Manual, 2009.2 37
Chapter 3
Quick Start
The Quick Start takes you through the basic stages of using EZwave:
Set Up and Load Data
This part of the Quick Start explains how to set up the tutorial data for the EZwave viewer.
Before starting this tutorial, you should have performed the following tasks:
Installed the application.
Set or verified EZwave environment variables.
Invoked the application (either by the command line or from another host application).
Refer to the Set Up and Load Data section, for further information.
Typically, you can load a database by choosing the File > Open menu item to open a file
browser. Using the browser, navigate to the location of the waveform database that you want to
open. Once opened, the database appears in the Waveform List panel.
A sample database has been created for your use. To load this database:
1. On your computer, create a directory named TUTORIAL at a location you can easily
find. You will use this directory to save your tutorial work and databases that you want
to reference.
2. Make sure your Waveform List panel shows No Databases Open. If you have open
databases, close them and any graph windows that contain plotted data.
3. In the main EZwave window, select the Help > Tutorial > Tutorial Data menu item to
load the tutorial database into EZwave. A tutorial folder appears with two subfolders:
Frequency Results and Time-Domain Results.
Other tasks related to data loading can be found in the Set Up and Load Data topics.
Add Waveforms Set Up and Load
Data
Analysis Post-Processing Save and Output
Data
EZwave Users and Reference Manual, 2009.2 38
Quick Start
Add Waveforms
Add Waveforms
In the second stage, add or select specific waveforms for viewing and analysis.
1. If in the List tab, expand the Time-Domain Results folder to display the waveforms
contained in the folder. If in the Tree tab, click on the Time-Domain Results in the
Structure List to display the waveform in the Waveform List.
2. Double-click the v_load waveform in the Waveform List panel with your mouse to plot
it on the Graph window. If a graph window is not displayed, a new graph window is
automatically created.
Alternately, you can use drag and drop of the waveform name to have more control of
the plotted waveform's position within the Graph window.
The waveform appears in the Graph window. Close the Waveform List panel so you have more
viewing space by clicking the Close button in the upper right hand corner of the WaveformList
panel. With your waveform plotted, you are ready to place your first cursor.
Further information on plotting and manipulating waveforms can be found in the Add
Waveforms topics.
Analysis
In the third stage, measure, analyze, and annotate data points or logic units that are represented
in the waveforms.
Expand Time-
Domain Results to
show waveforms.
Double-click v_load
to display waveform
in new Graph
window.
2
1
Quick Start
Analysis
EZwave Users and Reference Manual, 2009.2 39
Zoom and Place Cursor
1. In the Graph window, zoom in on the highest peak (the Y value or overshoot value) and
the time point (the X value) of the first pulse by dragging your mouse pointer on the
Time axis. You can also do an area zoom if you want.
To zoom out, click on the toolbar button. A history of zoom changes is kept,
allowing you to easily undo prior zoommagnification changes. To undo a zoomchange,
click the button on the toolbar.
2. Place your mouse pointer near the highest point of this pulse and right-click to display
the popup menu. Select Add Cursor. This adds a new cursor, labelled C1.
3. Now use the Snap feature to move the cursor into position. Right-click the cursor to
display the popup menu and select Snap to Data Points.
You may need to zoom in tightly and drag the cursor slightly to see the cursor snap to
the closest point. You can also use the Move Cursor buttons on the toolbar to move the
cursor to the highest point.
4. Use the View All button on the toolbar to see the entire waveform.
Drag mouse pointer on
time axis to zoom in, or
drag a box to do an area
zoom.
1
Right-click the highest
point of the waveform
and choose Add Cursor.
2
Right-click the cursor
again and select Snap
to Data Points.
3
EZwave Users and Reference Manual, 2009.2 40
Quick Start
Analysis
Place the Second Cursor
1. Zoom in on the area of the second pulse on the time axis.
2. Place a second cursor (C2) on the lowest point (the Y value or the undershoot value) and
time point (X value) of the waveformin this area by placing your mouse pointer near the
lowest point of this pulse and right-click to display the popup menu. Select Add Cursor.
If needed, use the Snap feature to move the cursor into position.
3. With the new cursor in position, use the View All button on the toolbar to see the entire
waveform with your two cursors. Looking at the two cursors, note the distance between
the two cursors.
Insert a Third Cursor
1. Perform an area zoom around the third pulse.
Place a new cursor on the
lowest point of the next
pulse of the time axis.
1
Place a third cursor on the
highest point of the third
pulse.
Quick Start
Post-Processing
EZwave Users and Reference Manual, 2009.2 41
2. Once this area displays, drop another cursor (C3) near the highest point of the third pulse
and use Snap to move this cursor into position. Zoom in if needed.
3. Click the View All button to view the entire display. You should now see three cursors,
C1, C2, and C3.
4. Observe the values for each of the cursors, particularly the dx values listed in the Value
flags for C2 and C3. The dx value listed for C2 is the delta between C1 and C2. The dx
value listed for C3 is the delta between C1 and C3.
5. To calculate the pulse width value, divide the dx value between C1 and C2 by the dx
between C1 and C3.
6. Click the Add Delta button on the top toolbar. This locks the waveform in place.
7. Place your mouse on the leading edge of the waveform, then left-click and drag the
mouse pointer to the next leading edge. You should see two bars appear: the first set
from your starting point, the second set on where your cursor currently points to. The
current delta between the two points is shown in between the two bars.
8. Release the mouse button. A Value flag appears with the final delta measurement
appears.
Further information on other analysis functions, go to the Analysis topics.
Post-Processing
In the fourth stage, after analyzing the simulator output data, this application provides a number
of powerful utilities for processing the data and transforming the raw data to specific
characteristic information.
EZwave Users and Reference Manual, 2009.2 42
Quick Start
Post-Processing
Using the Waveform Measurement Tool
Use the Measurement Tool to measure a wide variety of values among the various elements of a
compound waveform.
1. Select the Tools > Measurement Tool menu item to open the Measurement Tool
window, or in the active graph window, right-click on the waveform name. Select
Measurement Tool from the popup window.
2. Select the measurement type Time Domain and the category Frequency using the
dropdown lists.
3. Click on the select button to add your waveform to the Source Waveform field.
4. Specify an appropriate Threshold Topline value. Click on the previewbutton to display
the Topline level on the specified waveform. The preview appears in the Graph window.
5. Specify an appropriate Threshold Baseline value. Click on the preview button to
display the Baseline level on the specified waveform.
The Threshold Topline and
Baseline selections appear as blue
lines on your waveform.
In the Measurement Tool, select
the waveform, then Time Domain
and Frequency from the pulldown
menus.
1
Select the Entire Waveform to
apply the measurement.
4
3
Select the Threshold Topline
and a Threshold Baseline (these
appear as blue lines on the Graph
window.)
2
Quick Start
Save and Output Data
EZwave Users and Reference Manual, 2009.2 43
6. Click on the preview buttons to show the Topline and Baseline.
7. Use the default Rising or Falling Edge trigger button.
8. To present the measurement results, check Plot New Waveform. When Plot New
Waveform is selected a new graph window will open if necessary.
9. Select Entire Waveform in the Apply Measurement to field.
10. Check Remove all previous "Frequency" Results.
11. Click Apply. This will create a new waveform in a Graph window.
Other tools, such as the WaveformCalculator and Event Search Tool are also available to assist
you in the post-processing phase. Refer to the Post-Processing topics for information.
Save and Output Data
In the fifth stage, you can save or output your results in either JWDB or ASCII format.
Save Waveform Information
1. To save your updated waveform information, right-click the database folder.
2. Select Save As from the pop-up menu.
3. Enter the name of the file and specify the file type (JWDB, TXT, or CSV).
Save Graph Window Information
1. To save your Graph window settings, select File > Save.
A second waveform is
created to display the results
of the measurement.
EZwave Users and Reference Manual, 2009.2 44
Quick Start
Save and Output Data
2. The information is saved to a Saved Window (.swd) file. You can reload that data for
your next session.
Export Window Data as an Image
The EZwave viewer can export Graph windows to an image in either JPEG or PNG format.
1. To export your Graph window settings to an image file, select File > Export
2. In the Export Image dialog box, specify a name for the saved image, whether to save the
image as a JPEG or PNG file, and select the appropriate settings for that file format.
Depending on the specified settings, the application takes a snapshot of your active
window state or all visible window states, and saves it to the specified file format.
For further information on saving data, refer to the Save and Output Data topics.
Additionally, you may wish to use the tutorials to further expand your proficiency with this
application. The tutorials will load a small waveformdatabase for you to use in practicing many
of the procedures documented (located in the left pane of this browser).
EZwave Users and Reference Manual, 2009.2 45
Chapter 4
Set Up and Load Data
This section describes how to set up this product and load a waveform database.
Installing the EZwave Application
Setting Up Environment Variables
Invoking the Application
Opening a Database
After installation, but before invoking the EZwave application for the first time, you must set
several initialization parameters. These parameters provide the application and operating system
with information about the file locations, invocation options, and other data necessary for the
EZwave application to function optimally. After these parameters are set, the application is
invoked with a number of possible options.
After invocation, you can specify which data to load. The EZwave tool accepts data in a large
number of formats, including the default Joint Waveform DataBase (JWDB) format.
Installing the EZwave Application
To ensure that the AMS software is installed correctly, an interactive installation shell script is
provided. This shell script allows installation from a CD-ROM or from a download available
from the Mentor Graphics support site.
Installation is platform-dependent (for example, Solaris software should be installed from a
Solaris workstation with the supported OS, and so on).
For more information on AMS installation, please see the Analog/Mixed-Signal Installation
Guide.
Add Waveforms Set Up and Load
Data
Analysis Post-Processing Save and Output
Data
EZwave Users and Reference Manual, 2009.2 46
Set Up and Load Data
Setting Up Environment Variables
Setting Up Environment Variables
During the installation process, several key environment variables were set to default path
locations. Verify that the following environment variables were set to their correct locations.
For Windows hosts, check the Control Panel > System > Advanced tab > Environment
Variables button.
For UNIX hosts, use the following command in a command shell:
echo $environment_variable
Changing Default Environment Variables
The EZwave viewer uses a few environment variables that are set during runtime (if needed).
These environment variables have default values that can be changed by the user.
To change these environment variables from their default values, use the following command
once:
setenv AMS_USE_ENV 1
followed by another setenv command that sets the environment variable.
For example, if you want to change the location of the .ezwave directory, use the following
command:
setenv AMS_USE_ENV 1
setenv AMS_VIEWER_SETUP_HOME $HOME/my_directory
This will create the directory, $HOME/my_directory/.ezwave.
The environment variables available are listed in Table 4-2.
Table 4-1. EZwave Environment Variables
Environment Variable Description
LM_LICENSE_FILE Points to your Mentor Graphics license file or license
server
MGC_AMS_HOME
Points to the root installation tree
Set Up and Load Data
Invoking the Application
EZwave Users and Reference Manual, 2009.2 47
Note
The echo $variable_name command does not work for these environment variables.
Invoking the Application
When invoking the EZwave application, you may simply type ezwave at the Unix or Linux
prompt:
$> ezwave [options] [file1 ...]
The EZwave application supports a set of command options. Table 4-3 lists the supported
options.
Table 4-2. Changeable Environment Variables
Environment Variable Description
AMS_VIEWER_SETUP_HOME Set this environment variable to point to the
location where you want the .ezwave
directory to be saved. This is where the
EZwave application keeps its setup files.
The default location is $HOME/.ezwave.
AMS_JAVA_MEMORY_HEAP Specifies the minimum and maximum
memory allocation for the Java Virtual
Machine (JVM). The maximum amount of
memory you allocate depends on the system,
but generally, it is 2.4 GB. You may only
need to modify this if you encounter out-of-
memory errors. See the Out of Memory
section of the Troubleshooting appendix.
MGC_TMPDIR Specifies a directory to store temporary data
files. If MGC_TMPDIR is not set, the
default directory for temporary files is /tmp.
Table 4-3. EZwave Command Options
Option Description
-location x# y# Sets the location of the initial window
-height # Sets the default height of the application windows
-width # Sets the default width of the application windows
-logfile path Specifies the location for the session log file
-nologging Prevents session activity from being logged to a file
-norestore Prevents settings from a previous session from being restored
EZwave Users and Reference Manual, 2009.2 48
Set Up and Load Data
Opening Databases
Invoking the Application from Other Host Applications
The EZwave application can be invoked from other host applications such as a simulator (for
example, Questa

ADMS

), a schematic capture tool (for example, Design Architect

-IC), or
another design environment tool. For information on how to invoke the application from these
documents, refer to the documentation provided with these host applications.
Opening Databases
Adatabase must be loaded within the application before plotting. Avariety of different database
types are supported by the application. To open a database for use, perform the following steps:
1. Select the Open item from the File Menu or click the Open toolbar button.
-nowindow Prevents opening the initial empty window
-maxwnd Sets the initial window to be maximized
-help | -usage Displays this help text
-do file.tcl Indicates Tcl filename and location to be executed by the EZwave application.
Table 4-3. EZwave Command Options (cont.)
Option Description
Set Up and Load Data
Opening Databases
EZwave Users and Reference Manual, 2009.2 49
The Open dialog box appears. Use the dropdown list on Files of type: to select a filter if
desired. The MGC Database Files filter helps you in selecting waveform database files
(identified with the .wdb extension).
The file types listed in Table 4-4 are supported:
Table 4-4. Supported File Types
Icon File Type Extension
MGC Database (JWDB) *.wdb
ICX Charter waveforms *.cht
Comma Separated Value (CSV) *.csv
HSPICE Graph Data File *.tr%, *.ac%, *.mt,
*.sw%
COU File *.cou
Value Change Dump (VCD) *.vcd
EZwave Users and Reference Manual, 2009.2 50
Set Up and Load Data
Opening Databases
2. Select your file from the list. All formats except the default MGC Database (JWDB) are
loaded to the EZwave viewer through translation.
3. The application now shows your selected database in the Waveform List panel.
HSPICE/HyperLynx output file *.lis
Fast Signal Database File
1
*.fsdb
SPICE PWL File *.sti
Raw ASCII File *.tab
Saved Graph Window *.swd
DO File *.dofile, *.dof, *.do
Tcl File *.tcl
GZipped File
2
(*.z, *.Z, *.gz)
1. The FSDB reader is not available on the Sun (64-bit) nor the
Windows platforms.
2. GZipped files require the gunzip tool and the compressed file
should follow the convention, <original_file_name>.gz (or .z or .Z).
The EZwave tool will not modify the compressed file; it is
uncompressed in the MGC_TMPDIR temporary directory and is
removed from disk after you exit the EZwave tool.
Table 4-4. Supported File Types (cont.)
Icon File Type Extension
EZwave Users and Reference Manual, 2009.2 51
Chapter 5
Add Waveforms
Add or select specific waveforms for viewing and analysis. These waveforms can be stacked,
overlaid, zoomed, and annotated through the use of keyboard shortcuts, drag-and-drop, mouse
clicks and strokes, and menu items.
This section of the online help describes tasks related to waveforms. Tasks described include:
Creating Graph Windows
Selecting and Managing Graph Windows
Adding Waveforms to the Graph Window
Plotting Analog and Digital Waveforms
Adding Multiple Bit Waveforms as a Bus
Displaying Compound Waveforms in the Graph Window
Using the Parameter Table with Compound Waveforms
Copying Waveforms
Moving Waveforms
Temporarily Hiding a Waveform
Deleting Waveforms
Modifying Waveform Properties
Creating Graph Windows
This application can store graph windows and waveform databases for future use through .swd
and .wdb files. An .swd file can store:
waveforms associated with the graph window
Add Waveforms Set Up and Load
Data
Analysis Post-Processing Save and Output
Data
EZwave Users and Reference Manual, 2009.2 52
Add Waveforms
Selecting and Managing Graph Windows
window size, position, axis and background settings
complex waveform transformation settings
waveform display and cursor settings
Creating a New Graph Window
A new graph window can be created using the File > New menu item or by clicking the toolbar
button . The new window automatically becomes the active window.
Selecting and Managing Graph Windows
You may have multiple graph windows open at one time. There are several ways to change
which window is active.
Easiest Method
The easiest way to activate a window is to click anywhere in the graph window or its title bar.
Using the Window Menu
1. From the application menu bar, display the Window menu items.
2. A window list appears below the Close All menu item.
3. The currently active window will be shown in bold text. To change which window is
active, select a window name from the list displayed.
Add Waveforms
Selecting and Managing Graph Windows
EZwave Users and Reference Manual, 2009.2 53
4. If you have more than 9 windows created, select More Windows... from the Window
List. A second selection box will appear for use that lists all of the currently available
windows.
EZwave Users and Reference Manual, 2009.2 54
Add Waveforms
Adding Waveforms to the Graph Window
Using the Drag and Drop Workspace Taskbar
Above the status bar of the application window, a taskbar is available to easily select graph
windows. As each new graph window is added to the workspace, a graph window button is
added to this taskbar.
Figure 5-1. Workspace Tab
When graph windows are minimized, they appear as icons on the workspace. Click the graph
window button on the workspace taskbar to make that window the active graph window. When
you click the taskbar button, if the window is minimized, it is automatically restored and
brought to the front of the workspace.
The taskbar can be turned off through Workspace from the list in the EZwave Display
Preferences dialog box.
Adding Waveforms to the Graph Window
Adding a Single Waveform
The X-axis domain (also known as waveform type) of a waveform can be one of time-domain,
frequency-domain, parametric, and so forth. The X-axis domain can affect where a single
waveform is plotted, because waveforms with incompatible X-axis domains cannot appear in
the same Graph window together.
To add a single or compound waveform (compound waveforms are identified by a plus sign
over the waveform icon) to the Graph window, use the following steps:
Add Waveforms
Adding Waveforms to the Graph Window
EZwave Users and Reference Manual, 2009.2 55
1. In the Waveform List panel, locate the waveform you wish to see. Expand the database
if needed.
2. Use one of the following methods to make the waveform appear:
Drag the waveform name to:
o An active Graph window with a compatible X-axis domain
The waveformappears in that Graph window. The location in the Graph window
where you drag the waveform affects where it is plotted. Dragging it into an
existing row plots it overlaid with the waveforms in that row; otherwise, a new
row is created where you dropped the waveform.
If you drag to a Graph window with an incompatible X-axis domain, an error
occurs.
o The workspace
The waveform appears in a new Graph window.
Double-click the waveform name.
If an active Graph window is open and the X-axis domain is compatible with the
selected waveform(s), the waveform appears. Otherwise, a new Graph window
opens and the waveform is added to it.
Use the popup menu.
Right-click the waveform name and select the Plot item. More details about this
method are given in the following section.
Adding Multiple Waveforms
You may also add several waveforms at once to the window using the CTRL + Click method.
To do this, use the following steps:
1. In the waveformlist panel, locate the waveforms you wish to see. Expand the database if
needed.
2. Press the CTRL key and click each waveform name desired. (You may also press the
SHIFT key and click the first and last waveform names in a continuous list. All the
selected waveform names will highlight.)
3. Right-click to display the popup menu.
4. From the popup menu, select one of the following:
Plot (overlaid)
Plot (stacked)
EZwave Users and Reference Manual, 2009.2 56
Add Waveforms
Adding Waveforms to the Graph Window
Plot as Bus
If an active graph window is open, the newly added waveforms are added in new rows at the
bottomof the window. Otherwise, a new window will open and the waveforms will be added to
it.
Note
When selecting multiple waveforms, you must observe the waveform plotting rules.
Drag And Drop Waveforms
You may also add one or more waveforms to a graph window using drag and drop. Waveforms
can be dragged fromthe WaveformList panel or a graph window. They can be dropped onto the
following locations:
1. A new row will be inserted at the top of the window, and the dropped waveforms will be
added within this row.
2. Dropped waveforms will be added within this row.
3. A new row will be inserted at this location, and the dropped waveforms will be added
within this row.
Add Waveforms
Plotting Analog and Digital Waveforms
EZwave Users and Reference Manual, 2009.2 57
4. A new row will be inserted at this location, and the dropped waveforms will be added
within this row.
5. A new row will be appended to the bottom of the window, and the dropped waveforms
will be added within this row.
6. Dropped waveforms will be added within this row, onto the axis where the drop occurs.
7. A new graph window is created on this workspace and the dropped waveforms will be
added within this window.
8. The workspace is activated, a new graph window is created on this workspace and the
dropped waveforms will be added within this window.
9. A new workspace is created, a new graph window is created on this workspace and the
dropped waveforms will be added within this window.
Plotting Analog and Digital Waveforms
When you plot analog and digital waveforms, the order of selection of the waveforms will
dictate whether the plotted waveforms appear together within a single row (called "overlaid") or
within new rows (called "stacked").
Plotting Complex-Valued Waveforms
Because they are being represented in two dimensions, complex-valued waveforms must have a
transformation applied to their data before they can be displayed in a graph window. These
transformations can:
Separate the waveform into:
o Real and imaginary parts
o Gain (dB) and phase parts
o Magnitude and phase parts
o Any combination or single element of these
Plot value pairs of complex values against each other in charts such as:
o Complex plane plots
o Polar charts
o Smith Charts
This allows the waveformto be displayed in a variety of methods, showing as much information
about the individual points as you want.
EZwave Users and Reference Manual, 2009.2 58
Add Waveforms
Adding Multiple Bit Waveforms as a Bus
You can control how complex-valued waveforms are displayed by clicking on
Transformations fromthe EZwave Display Preferences dialog box. Choose Edit > Options to
open this dialog box.
Waveform Plotting Rules
Remember the following rules for plotting waveforms:
1. Digital waveforms may not be plotted over other digital waveforms (overlaid).
2. When selecting multiple (analog and digital) waveforms from the Waveform List panel
for plotting overlaid (from the popup menu), an analog waveform must be selected first
in the multiple selection if you want both analog and digital waveforms plotted in the
same row.
Otherwise, the plotting occurs based on the order of the selection.
Selecting a digital waveform first will result in all digital waveforms plotted in separate
rows until an analog waveform is reached. Subsequent waveforms in the selection list
(digital and analog) will plot overlaid on that waveform.
3. When selecting multiple (analog and digital) waveforms from the Waveform List panel
for drag and drop plotting, the viewer will plot all digital waveforms in separate rows
and all analog waveforms overlaid in a single row regardless of the order of selection. If
you do not want this default behavior, use the popup menu to specify plotting overlaid or
stacked (see Rule 2 for selection order).
4. When using the drag and drop method to plot or move waveforms, you can drag one or
more digital waveforms into an existing analog row. Drag waveforms into an existing
digital row to create new rows for those waveforms.
Drag a waveform into an existing row to plot the waveform in that row. This is called an
overlaid plot. Digital waveforms will not accept overlaid plots.
Adding Multiple Bit Waveforms as a Bus
You can select multiple digital waveforms (also known as bits) to form your own bus by
performing the following steps:
1. In the WaveformList panel, Shift-select or Ctrl-select the waveforms you wish to add as
a bus.
2. Right-mouse click and select Plot as Bus from the popup menu.
The new bus is plotted in a Graph window. If you click the plus sign (+) next to the bus name,
the individual digital waveforms will also be displayed.
Add Waveforms
Displaying Compound Waveforms in the Graph Window
EZwave Users and Reference Manual, 2009.2 59
Figure 5-2. Multiple Bit Waveforms as a Bus
Displaying Compound Waveforms in the Graph
Window
This section contains the following tasks related to viewing compound waveforms:
Displaying Compound Waveforms
Viewing or Selecting Individual Waveforms
Displaying Compound Waveforms as Single Elements
Displaying Compound Waveforms
To display a compound waveform in the graph window, use the following steps:
1. In the waveform list panel, locate the compound waveform you wish to view. Expand
the database if needed. (Compound waveforms are identified by a plus sign over the
waveform icon).
2. Double-click the waveformname or right-click on the waveform. Fromthe popup menu,
select the Plot menu item.
If an active graph window is open, the waveform appears. Otherwise, a new window will open
and the waveform will be added to it.
EZwave Users and Reference Manual, 2009.2 60
Add Waveforms
Displaying Compound Waveforms in the Graph Window
Figure 5-3. Graph Window With a Waveform
Viewing or Selecting Individual Waveforms
You can view or select one or more individual elements within a compound waveform. To do
this, use the following steps:
1. Do an area zoom around the area of interest until you can see the individual elements of
the waveform.
2. Left-click on the element of interest.
3. To select more than one element, hold down the CTRL key on the keyboard and click
each element name desired. All the selected elements will be highlighted
4. To select all elements, right-click on the waveform name.
5. Right-click to display a popup menu for the element(s).
Add Waveforms
Displaying Compound Waveforms in the Graph Window
EZwave Users and Reference Manual, 2009.2 61
Figure 5-4. Popup Menu From a Waveform
Moving the cursor over an individual element will display information about that particular
element.
Displaying Compound Waveforms as Single Elements
By default, compound waveforms are displayed using the same color for each of the individual
elements that make up the compound waveform. To set the EZwave tool to display the
individual elements of a compound waveform in different colors, do the following:
1. Select Edit > Options menu item to open the EZwave Display Preferences dialog
box.
2. Select Multiple Run from the EZwave Preferences hierarchy on the left side of the
dialog box. This displays the Multiple Run options.
3. Select Display as single elements.
4. If you want to display the run parameters associated with each component waveform,
select Show Names with Run Parameters.
5. Click OK to accept the changes.
EZwave Users and Reference Manual, 2009.2 62
Add Waveforms
Using the Parameter Table with Compound Waveforms
Compound waveforms will now be displayed with different colors for each component
waveform. If you chose to display the run parameters, those will be displayed next to the
waveform names.
Figure 5-5. Compound Waveforms as Single Elements With Run Parameters
Using the Parameter Table with Compound
Waveforms
Invoking the Parameter Table
The Parameter Table provides information about one or more elements of a compound
waveform in an easy to understand table format.
To invoke the Parameter Table, use the following steps:
Add Waveforms
Using the Parameter Table with Compound Waveforms
EZwave Users and Reference Manual, 2009.2 63
Select an element of a compound waveform displayed in a graph window or select the
compound waveform name.
Right-click on the waveform or element name.
From the popup menu, select the Parameter Table menu item.
Figure 5-6. Parameter Table
Using the Parameter Table
The Parameter Table displays the following information about individual elements of a
compound waveform.
Index - The index is a sequential number assigned to each element of the compound
waveform. The first element is assigned the number one. By default, the numbers are
listed in ascending order. To reverse this order, click the small triangle.
Parameter - The parameter column shows the value of a parameter specified at
simulation time. To invert the order of this column, click the small triangle.
Show - The show column allows you to select which elements will be visible in the
graph window. To show an element, click the box corresponding to the itemnumber. To
select more than one element, use the CTRL + Click method. To select a range of
elements, use SHIFT + Click. After selecting multiple elements, you can group them
together at either the top or bottom of the table by clicking the small arrow at the top of
the column.
EZwave Users and Reference Manual, 2009.2 64
Add Waveforms
Copying Waveforms
Copying Waveforms
There are a variety of techniques that can be used to copy a waveform within the active graph
window. Two methods are shown here.
Right-Click Method
To copy a waveform within the active graph window, perform the following steps:
1. Right-click the waveform or the waveform label in the active graph window.
2. From the Waveform popup menu, select Copy.
3. Right-click within the new row, from the popup menu that appears, select Paste.
Drag and Drop Method
1. Click the waveform or the waveform label in the active graph window.
2. Press the CTRL key on your keyboard. Click and hold the left mouse button, and drag
the label to the new row.
The waveform displays in the row. If you want the waveform in a new row, right-click below
the last row and select paste. A new row appears with the waveform contained within it.
Moving Waveforms
To move a waveform within the active graph window, perform the following steps:
1. Select the waveform label in the active graph window.
2. With the mouse button still engaged, drag the waveform to the new row. Release the
mouse button when it is at the desired location.
The waveform displays in the row you selected. If you want the waveform in a new row, drag
the waveform below the last row and release the mouse button. A new row appears with the
waveform contained within it.
Temporarily Hiding a Waveform
When you need to hide a waveform from the display to view other data, perform the following
steps:
1. Select the waveform in the active graph window and right-click to display the popup
menu.
2. Select Hide Waveform from the popup menu.
Add Waveforms
Deleting Waveforms
EZwave Users and Reference Manual, 2009.2 65
The waveform label appears in the active graph window but the waveform itself does not
appear. This action differs fromDelete as the waveformis still within the window and available
for later viewing. The label will still display, but will appear dimmed (or gray) indicating the
hide condition.
To restore the waveform to the active window:
1. Right-click the label for the waveform. The popup menu will have a check next to Hide
Waveform.
2. Click this area to remove the check. The waveform will now display in the row of the
active graph window.
Deleting Waveforms
To delete waveforms from the Graph window:
1. In the Graph window, right-click the name of the waveform in the right-hand pane (or
right-click the waveform).
2. Select Delete from the popup menu.
Modifying Waveform Properties
You can modify the appearance, display parameters, and transformations applied to waveforms.
The properties you can modify depends on whether you want to modify the properties of one
waveform or multiple waveforms.
Modifying Properties for a Single Waveform
Modifying Properties for Multiple Waveforms
Modifying Properties for a Single Waveform
To modify properties for a single waveform, do the following:
1. In the Graph window, right-click the name of the waveform in the right-hand pane (or
right-click the waveform).
2. Select Properties from the popup menu. The Waveform Properties dialog box that
opens contains three tabs.
3. In the Appearance tab, you can modify the waveform name as well as visual effects
such as color, line style, or the symbol used for data points. The options you can change
varies depending on whether the waveformis digital, analog, or a bus. See Appearance
Tab on page 388 for details.
EZwave Users and Reference Manual, 2009.2 66
Add Waveforms
Modifying Waveform Properties
4. In the Parameters tab, you can see the date and time the waveform was created.
5. In the Transformations tab, you can choose different transformations to apply to the
waveform. See Transformations Tab on page 390 for details.
6. When you are finished making the changes, click OK to close the Waveform Properties
dialog box.
Modifying Properties for Multiple Waveforms
To modify properties for multiple waveforms, do the following:
1. In the Graph window, CTRL-click or SHIFT-click the waveforms whose properties you
want to modify.
2. Right-click the name of one of the highlighted waveforms in the right-hand pane (or
right-click one of the highlighted waveforms).
3. Select Properties from the popup menu. The Waveform Properties dialog box that
opens contains three tabs.
4. In the Analog Waveform Properties tab, you can modify the visual effects for analog
waveforms. See Analog Waveforms Tab on page 392 for details.
5. In the Digital Waveform Properties tab, you modify the visual effects for digital
waveforms. See Digital Waveforms Tab on page 393 for details.
6. In the Radix Waveform Properties tab, you can control the radix used for displaying
waveform state values. This tab is only available for digital buses or analog waveforms
containing integer data. See Transformations Tab on page 390 for details.
7. When you are finished making the changes, click OK to close the Waveform Properties
dialog box.
EZwave Users and Reference Manual, 2009.2 67
Chapter 6
Analysis
Measure, analyze, and annotate data points or logic units that are represented in the waveforms.
Multiple simulations can be run and the data is saved for additional analysis and reuse. Multiple
cursors can be added to show data points as well as interpolated values between data points.
Typical tasks include:
Adding the Base Cursor
Adding Reference Cursors
Moving Cursors
Setting Visibility of Cursor Values
Deleting Cursors
Zooming an Area
Zooming Over an Axis
Aligning Y Axes With Different Scales
Using the Event Search Tool
Creating an Eye Diagram
Creating an XY Plot
Creating a Smith Chart
Using the Cursor Value Table
Comparing Waveforms
Adding the Base Cursor
The first cursor you set on a waveform is known as a base cursor. To add a base cursor:
1. Place your mouse pointer at a point on your waveform and right-click to display the
popup menu. Select Add Cursor.
Add Waveforms Set Up and Load
Data
Analysis Post-Processing Save and Output
Data
EZwave Users and Reference Manual, 2009.2 68
Analysis
Adding the Base Cursor
2. You can use the Snap feature to move the cursor into position. Right-click the cursor to
display the popup menu and select Snap to Data Points.
You may need to zoomin tightly and drag the cursor to see the cursor snap to the closest
point. You can also use the Move cursor buttons on the toolbar to move the
cursor to the highest point.
3. Use the View All button on the toolbar to see the entire waveform.
Figure 6-1. The Base Cursor
Selecting a Base Cursor
When multiple cursors are displayed in the Graph window, by default, the first cursor becomes
the base cursor. The base cursor is used as a reference for various measurements. The X value
and the delta-X are shown for each cursor. The X value is the current value, and the delta-X
value is the difference between the current X value and the base cursor.
To identify the base cursor, look at the information box shown at the bottom of the cursors. The
box for the base cursor is flush with the X-axis and does not contain a delta-X value. The box
for the other cursors is shown lower beneath the unit labeling for the X-axis and contains a
delta-X value.
To select a cursor to act as the base cursor or reference cursor, use the following steps:
1. Place your mouse pointer on desired cursor and right-click the mouse button.
2. From the popup menu, select the "Base" Cursor menu item. This cursor now becomes
the base cursor. Once this section is made, all delta-X measurements are updated to
reflect this base cursor.
Analysis
Adding Reference Cursors
EZwave Users and Reference Manual, 2009.2 69
Only one base cursor is permitted in any Graph window. By selecting a new base cursor, the
previous base cursor immediately reverts to a regular cursor.
Adding Reference Cursors
After you have added an initial base cursor to your waveform, all subsequent cursors you add
are reference cursors. To add reference cursors:
1. Set a reference cursor on the waveform your mouse pointer on another point on the
waveform and right-click to display the pop-up menu (using zoom, if necessary). Select
Add Cursor. If needed, use the Snap feature to move the cursor into position. The new
cursor appears (labelled C2 where your base cursor is C1) on the waveform.
2. With the new cursor in position, use the View All button on the toolbar to see the
entire waveform with your two cursors. Looking at the new cursors, note the distance
between each new reference cursor and the base cursor.
3. On the C2 cursor, right-click the cursor to display the popup menu and select Data
Values > Show on Left. This will flip the values to the other side, so you can see the
axis area clearly in the next zoom function.
4. Once this area displays, you can drop another cursor at another point on the waveform.
This cursor will appear as C3. You can add multiple cursors (they will be labelled C4,
C5...and so on) on the waveform to set distinct points of measurement.
Figure 6-2. Reference Cursors
EZwave Users and Reference Manual, 2009.2 70
Analysis
Moving Cursors
Moving Cursors
Dragging a Cursor
You can move cursors by placing your mouse pointer on the cursor and dragging it to a new
location on the waveform.
Locking Cursors Together
Cursors can be locked together so that when you move one cursor, the other will be dragged
along at the same distance. To lock cursors together, select Cursor > Lock Together When
Dragging from the menu bar.
Moving a Cursor to a Specified Location
If you want to move a cursor to a specified point on the waveform:
1. In the Graph window, place your mouse pointer on the cursor and right-click.
2. Select Move Cursor from the pop-up menu that appears. This action invokes the Move
Cursor dialog.
3. In the Move Cursor dialog, enter the new X location (or locations, separated by spaces)
in the Enter New X Location(s) field.
4. Select the unit of measurement from the pull-down menu; this can be in hertz or femto-,
pico-, nano-, micro-, milli-, kilo-, mega-, giga-, or terahertz. If you add multiple cursors,
the first one entered becomes the active cursor.
5. Click OK. The cursor moves to the specified location.
Setting Visibility of Cursor Values
Hiding Cursor Values
If you wish to hide cursor information for a single waveform:
Right-click the specific Value Flag (the box on the cursor that displays the waveform value at
that point) on the cursor you wish to hide.
Select Hide Value from the pop-up menu. The specified Value Flag disappears (if you have
other Value Flags on different waveforms using the same cursor, they do not disappear).
For compound waveforms (multiple waveforms on the same display), you can hide cursor
values by performing the following:
Analysis
Setting Visibility of Cursor Values
EZwave Users and Reference Manual, 2009.2 71
1. Using your mouse pointer, select the cursor you wish to hide values.
2. Right mouse-click the cursor and select Data Values > Hide All. The Value Flags
disappear from the selected cursor for all waveforms displayed.
Showing Cursor Values
You can restore hidden cursor values by performing the following:
1. Using your mouse pointer, select the cursor with no Value Flags displayed.
2. Right mouse-click the cursor and select Data Values > Show All. The Value Flags
appear on the selected cursor for all waveforms displayed.
Showing Y-Level Lines
You can place Y-level lines on your cursor by right-clicking the cursor and selecting Y-Level
Line from the popup menu. A dotted line on the Y-axis appears at the point specified by the
data flag. Repeat these steps to hide Y-level lines.
Choosing How Deltas Between Y-Level Lines Are Calculated
The delta Y with respect to the base Y-level line is displayed on every non-base Y-level line.
How these delta Y values are measured is determined by the Cursor Delta Y setting in the
Cursors (EZwave Display Preferences Dialog Box) dialog box (Edit > Options).
The EZwave tool measures the delta Y in the following two ways:
Waveform based (default)
The waveform-based method measures the difference between Y-level lines on one
waveform. This requires at least two cursors: one cursor whose Y-level line is the
base, and the second cursor whose Y-level line is the reference. The Y-level line of
the reference cursor displays the delta between it and the base Y-level line. There can be
more than one cursor, each ones Y-level line displays the delta Y value with respect to
the base Y-level line (Figure 6-3).
Cursor based
The cursor-based method measures the differences between Y-level lines on the same
cursor. The delta Y value of the reference Y-level line is the difference between it and
the base Y-level line on the same cursor (Figure 6-4).
EZwave Users and Reference Manual, 2009.2 72
Analysis
Setting Visibility of Cursor Values
Figure 6-3. Waveform-Based Delta Ys
Figure 6-4. Cursor-Based Delta Ys
Analysis
Deleting Cursors
EZwave Users and Reference Manual, 2009.2 73
Deleting Cursors
To delete a cursor:
1. Using your mouse pointer, select the cursor you wish to delete.
2. From the Cursor menu, select Delete Active. This deletes the highlighted cursor.
To delete multiple cursors:
1. Drag a box around the waveform names in the right panel of the Graph window to
highlight them.
2. From the Cursor menu, select Delete Active. This deletes the highlighted cursors.
Zooming an Area
With an area zoom, you use the mouse pointer to draw a rectangle around the area for the zoom.
Perform the following steps:
1. Starting in the upper left corner, identify the start of the area you wish to zoom.
2. Click the left mouse button and drag the mouse from the upper left to the lower right
corner of the area (in a diagonal fashion).
3. A white box displays around the area.
Figure 6-5. Zooming an Area
EZwave Users and Reference Manual, 2009.2 74
Analysis
Zooming Over an Axis
4. Release the mouse button. The display changes to the appropriate zoom factor.
A history of zoom changes is kept in the system, allowing you to easily undo prior zoom
magnification changes. To undo a zoom change, click the button on the toolbar.
Zooming Over an Axis
To use the mouse pointer for a fast zoom, perform the following steps:
1. Place the mouse pointer over one of the values of the X axis.
2. Holding the left mouse button down, drag the mouse pointer to the right a short distance
on the X axis.
Figure 6-6. Zooming Over an Axis
3. Repeat the action until the display is at the desired magnification.
A history of zoom changes is kept in the system, allowing you to easily undo prior zoom
magnification changes. To undo a zoom change, click the toolbar button.
Aligning Y Axes With Different Scales
Overlaid waveforms may have different Y axes with different scales. You can specify how to
align these axes and also specify the axes marker spacing for easier viewing. This feature works
similarly to an oscilloscope.
For example, Figure 6-7 shows two waveforms overlaid with different Y axes. Note that the Y
axes are lined up at y = 20 and have different scales (the rest of the y values do not line up).
Analysis
Aligning Y Axes With Different Scales
EZwave Users and Reference Manual, 2009.2 75
Figure 6-7. Overlaid Waveforms With Different Y Axes Scales
Figure 6-8 shows the same waveforms after realigning. In this example, the user realigned the Y
axes to 0 and changed the spacing of axis Y2 to 40.
Figure 6-8. Realigned Y Axes
EZwave Users and Reference Manual, 2009.2 76
Analysis
Using the Event Search Tool
To specify the alignment and spacing of the Y axes of overlaid waveforms, do the following:
1. Right-click the Y axis that you want to set as the reference axis. The reference axis is the
axis that the remaining axis (or axes) will align to. This opens the Set Reference Y Axis
dialog box.
Figure 6-9. Set Reference Y Axis Dialog Box
2. The Reference Y Axis field contains two text fields.
The first one is labeled with the Y axis you right-clicked on to set as the reference (in the
above example, its Y1). Specify in this field the value of the reference axis that you
want the other axis specified value to align to.
In the second field, Spacing, type the value for the spacing of the reference axis. The
spacing determines how far apart the major ticks on the axis are, effectively
determining the scale of the axis.
3. In the Y Axis field, specify the alignment value and spacing for the non-reference axis
(axes). The alignment value specified here will align with the reference Y value.
4. Click OK to close the dialog box and apply the changes.
5. To reset the axes to their original scaling and alignment, right-click the reference axis,
select Unset Reference Axis, and click the View All button from the toolbar.
Using the Event Search Tool
The Event Search Tool enables you to locate occurrences of simulation events interactively. An
event is a definition of specific states (or values) for a single or a collection of waveforms. To
define an event, you need to select a set of waveforms and specify the states (or values) you
want them to have.
You can perform a basic event search or an expression event search.
Analysis
Using the Event Search Tool
EZwave Users and Reference Manual, 2009.2 77
Performing a Basic Event Search
1. Invoke the Event Search tool with the Tools > Search menu item. The Event Search
Window as shown here will appear on the left-hand side of the main Application
Window after invocation.
Figure 6-10. The Event Search Tool
EZwave Users and Reference Manual, 2009.2 78
Analysis
Using the Event Search Tool
2. Name your event by clicking in the text window of the Event field and changing
"Event1" to the desired event definition name. Click Save if you want to save the event
definition for later use.
3. Specify the source waveform you wish to search by selecting a waveform that is
displayed in the active Graph Window. Then click on to add the selected waveform
to the Source Waveform field.
4. In the Event Setup field, you choose an event type from the following four types:
Any Event: Moves from one event to the previous or next event occurrence on the
specified waveform.
Rising Edge: Moves from one rising edge to the previous or next rising edge
occurrence. Click the Rising Edge icon to open the Search Event Settings dialog.
Figure 6-11. Rising Edge Dialog Box
Check the Levels are Relative to the Topline and Baseline box if you want to
automatically select the Top and Base line. You can select lower and upper
percentages through the pulldown menu. To manually set the Top and Base lines,
deselect the Levels are Relative to the Topline and Baseline box. You can now
enter appropriate lower and upper values. Close the Search Event Settings dialog
and click on to show the lines in the Graph Window.
Falling Edge: Moves from one falling edge to the previous or next falling edge
occurrence. Click the Falling Edge icon to open the Search Event Settings dialog.
Analysis
Using the Event Search Tool
EZwave Users and Reference Manual, 2009.2 79
Figure 6-12. Falling Edge Dialog Box
Check the Levels are Relative to the Topline and Baseline box if you want to
automatically select the Top and Base line. You can select lower and upper
percentages through the pulldown menu. To manually set the Top and Base lines,
deselect the Levels are Relative to the Topline and Baseline box. You can now
enter appropriate lower and upper values. Close the Search Event Settings dialog
and click on to show the lines in the Graph Window.
Waveform Value: Moves from one waveform value to the previous or next
specified waveform value. Note that a tolerance needs to be specified if you search
for data points generated by an analog simulator.
5. To search for the event that you specify, you may move the cursor along the specified
waveform by clicking in the Event Search field to go in the decreasing time
value direction, and to go in an increasing time value direction.
6. If you find an event you want to mark, in the Markers section of the Event search tool
select Add a Marker button . You can right-click on the marker and rename the
marker, move the marker, copy the marker to the clipboard, or delete the marker as
desired.
You can also jump to a marker by selecting the marker name from the drop-down list or by
using the previous marker button and next marker button .
Performing an Expression Event Search
1. Invoke the Event Search tool with the Tools > Search menu item.
EZwave Users and Reference Manual, 2009.2 80
Analysis
Creating Special Diagrams and Charts
2. Name your event by clicking in the text window of the Event field and changing
"Event1" to the desired event definition name. Click Save if you want to save the event
definition for later use.
3. Click the Logic Expression radio button.
4. Select the expression by:
Invoking the waveform calculator to create or select an existing expression. Click
the Eval button in the calculator to import the expression from the calculator to the
Event Search expression area.
Using the Add selected waveform button and enter the logical function names
or operators in the expression area.
5. To search for the event that you specify, you may move the cursor along the specified
waveform by clicking in the Event Search field to go in the decreasing time
value direction, and to go in an increasing time value direction.
6. If you find an event you want to mark, in the Markers section of the Event search tool
select Add a Marker button . You can right-click on the marker and rename the
marker, move the marker, copy the marker to the clipboard, or delete the marker as
desired.
You can also jump to a marker by selecting the marker name from the drop-down list or by
using the previous marker button and next marker button .
Creating Special Diagrams and Charts
Creating an Eye Diagram
You can create an eye diagram based on a period of waveform data. To create an eye diagram,
complete the following:
1. Plot the waveform you want the eye diagram based on.
2. Select Tools > Eye Diagram. The Eye Diagram dialog box opens.
Analysis
Creating Special Diagrams and Charts
EZwave Users and Reference Manual, 2009.2 81
Figure 6-13. Eye Diagram Dialog Box
3. In the dialog box, select the Source Waveform from the drop down list or by using the
Add Selected Waveforms button.
4. The Parameter Setup values reflect the selected source waveform. The setup values
include:
Eye Period: The eye diagram is generated by overlaying a semi-periodical
waveformsignal on an interval in X (usually a time interval). The interval is defined
as the eye period. A default eye period is calculated based on period divided by 2.
Offset: The open part of the eye is not always at the center of the axes. You may
specify a different offset value to generate an eye diagram with the open part at the
desired location.
Minimum X Value and Maximum X Value: Define the range of waveform data
used for generating the eye diagram.
Change the setup values as desired. Use the default button to reset to the default values.
EZwave Users and Reference Manual, 2009.2 82
Analysis
Creating Special Diagrams and Charts
5. Select Apply Measurements to have the measurements automatically updated as you
modify the values in this dialog box. This updates the appropriate values in the eye
diagram as well as all the values in the Measurement Results tab.
6. Click on the Measurement Results tab to view the results of the eye diagram
measurements.
7. When you have the desired setup, select the Apply button. The resulting eye diagram
displays in a new graph window. Click Cancel to cancel the option or close the dialog.
Also, you can right-click to place a point cursor to see exact values and analyze the eye diagram
of the signal.
Creating an XY Plot
You can plot a waveform as a function of another waveform. To create an XY plot, complete
the following:
1. Plot the desired waveforms on the same graph row.
2. Right-click the waveform you want to be the x-axis.
Analysis
Creating Special Diagrams and Charts
EZwave Users and Reference Manual, 2009.2 83
3. From the popup menu, select Set as X Axis.
The resulting XY plot waveform displays in a new graph window.
You can right-click the waveform to place a point cursor to see exact values and analyze the
resulting signal.
Smith Chart
A Smith Chart displays a sequence of impedance (Z-parameters), admittance (Y-parameters),
scatter parameter (S-parameters), or reflection coefficient data plotted as curves on a grid. The
Smith Chart allows you to find all possible impedances on the domain of existence of the
reflection coefficient.
Mathematically, the Smith Chart represents the following relationship for all values of Z
(impedance) in the reflection coefficient plane, also called the G plane:
ZO represents the characteristic impedance of a transmission line. If you define normalized
impedance as z, then the relationship is as follows:
which translates to the form:
EZwave Users and Reference Manual, 2009.2 84
Analysis
Creating Special Diagrams and Charts
With a Smith Chart, you can plot impedance values using curves and then read reflection-
coefficient values from the grid.
Invocation
For frequency-domain waveforms, right-click the waveform name in the Waveform List panel
and choose Plot as > smith_chart.
Additionally, you can select Transformations > smith_chart from the popup menu on a
complex-valued frequency-domain waveform to bring it up in a Smith Chart. If a waveform is
not an S11- or S22-parameter waveform, it is displayed as a complex-plane plot over a Smith
Chart grid.
By default, S11 and S22 parameters (scattering parameters) are displayed in a Smith Chart
when they are brought up for viewing.
Impedance and Admittance Display
You can change the coordinates to switch between displaying by Impedance (along the Z-
parameter axis), or the Admittance (along the Y-parameter axis).
To change between impedance and admittance displays, right-click on the row containing the
Smith Chart to bring up the popup menu, and select Smith Chart > Impedance (results shown
in Figure 6-14).
Analysis
Creating Special Diagrams and Charts
EZwave Users and Reference Manual, 2009.2 85
Figure 6-14. Smith Chart Impedance Display
or Smith Chart > Admittance (shown in Figure 6-15).
EZwave Users and Reference Manual, 2009.2 86
Analysis
Creating Special Diagrams and Charts
Figure 6-15. Smith Chart Admittance Display
Ordinarily, the Smith Chart displays only positive real values. If, however, values of S11- or
S22-parameter waveforms extend beyond the reaches of this display, the Smith Chart is
automatically extended to display values outside this range. The maximum negative real values
are:
-0.8 for the left part of the chart
-1.2 for the right part of the chart
The maximum imaginary values are:
0.2 for the top part of the chart
-0.2 for the bottom part of the chart
Analysis
Creating Special Diagrams and Charts
EZwave Users and Reference Manual, 2009.2 87
Smith Chart and Polar Display
You can change between a Smith Chart display and a polar display for these data sets. To
change the display, select an element on the chart, then Transformations > polar_chart from
the popup menu.
EZwave Users and Reference Manual, 2009.2 88
Analysis
Creating Special Diagrams and Charts
Figure 6-16. Polar Chart Display
Scattering Parameters (S-Parameters)
When the amplitude and phase of an incoming wave on a transmission line moves through a
circuit, its energy scatters and is partitioned between all outgoing waves on all other
transmission lines connected to the circuit.
Scattering parameters (also known as S-parameters) are the fixed properties of the circuit which
describe how the energy couples between each pair of ports or transmission lines connected to
the circuit.
S-parameters are plotted as curves on a Smith Chart grid. You can drag the cursor along the path
of any curve to determine the impedance at that point. You can change the data value to take
into account the characteristic impedance. In order to change the data value, right-click on the
cursor value display as shown in Figure 6-17 and select Data Values... The Data Values dialog
box appears.
Figure 6-17. Cursor Value Display
Analysis
Creating Special Diagrams and Charts
EZwave Users and Reference Manual, 2009.2 89
Cursors in the Smith Chart
Select Cursor > Add from the menu bar or click the Add Cursor button in the toolbar to add a
cursor to the Smith Chart. Because the Smith Chart is a polar plot, the Smith Chart does not use
bar cursors. Instead, cursors are represented by marks on the plotted waves, and the circles that
correspond to that point on the graph. The F (frequency) and Z values are shown for the current
point represented by the cursor; additionally, you can display the S parameters by selecting the
appropriate option from the Data Values dialog box. If you display more than one wave on a
Smith Chart, dragging the cursor along one wave causes the cursor to move along the others, as
well.
Figure 6-18. Cursor in a Smith Chart
Circles
You can plot Constant circles and Stability circles on a Smith Chart. Usually, a circle plot
consists of a family of circles. Use the popup menu on a circle plot to bring up the Circle
Visibility dialog box, which allows you to set which circles are visible in a circle plot.
The following figure shows a multiple-circle plot on a Smith Chart as well as the Circle
Visibility option from the popup menu.
EZwave Users and Reference Manual, 2009.2 90
Analysis
Creating Special Diagrams and Charts
Figure 6-19. Multiple-Circle Plot and Circle Visibility Option
The following figure shows the results after selecting a single circle to highlight.
Figure 6-20. Highlighted Circle
Analysis
Using the Cursor Value Table
EZwave Users and Reference Manual, 2009.2 91
Using the Cursor Value Table
Invoking the Cursor Value Table
The Cursor Value Table provides information about one or more elements of a compound wave
in an easy to understand table format.
To invoke the Cursor Value Table, use the following steps:
1. Select an element of a compound waveform displayed in a graph window.
2. Right-click on the element cursor value.
3. From the popup menu, select the Show/Hide menu item.
Figure 6-21. Cursor Value Table
Using the Cursor Value Table
The Cursor Value Table displays the following information about individual elements of a
compound waveform.
Index - The index is a sequential number assigned to each element of the compound
waveform. The first element is assigned the number one. By default, the numbers are
listed in ascending order. To reverse this order, click the small triangle.
Parameter - The parameter column shows the value of a parameter specified at
simulation time. To invert the order of this column, click the small triangle.
EZwave Users and Reference Manual, 2009.2 92
Analysis
Comparing Waveforms
Show - The show column allows you to select which elements will be visible in the
graph window. To show an element, click the box corresponding to the itemnumber. To
select more than one element, use the CTRL + Click method. To select a range of
elements, use SHIFT + Click. After selecting multiple elements, you can group them
together at either the top or bottom of the table by clicking the small arrow at the top of
the column.
Comparing Waveforms
The EZwave Waveform Compare Tool enables you to compare waveforms from a reference
simulation to a new result simulation.
The comparison can apply to digital, analog, and mixed-signal simulation results. The
Waveform Compare Tool automatically applies a set of default settings to the comparison. By
default, the Waveform Compare tool looks for waveforms with the same name in the reference
and test simulation result databases.
The WaveformCompare Tool reports the set of differences, either graphically, or in report files.
This feature can be accessed through the graphical user interface or through a set of Tcl
commands (see Tcl Scripting Support on page 441). In the graphical user interface, a
waveform comparison wizard helps you set up and execute the comparison. In a Tcl script, you
can compare complete reference and test databases with a very simple set of Tcl commands.
The following lists the tasks involved with waveform comparison and the waveform
comparison algorithm:
Using the Waveform Compare Wizard
Manually Comparing Waveforms
Viewing Waveform Comparison Results
Generating a Waveform Comparison Report
Viewing and Saving Comparison Rules
Setting Comparison Options
The Analog Waveform Comparison Algorithm
Using the Waveform Compare Wizard
The Waveform Compare Wizard steps you through each dialog box as shown in Figure 6-22.
For information on the individual dialog boxes, click on the appropriate box in the figure.
Analysis
Comparing Waveforms
EZwave Users and Reference Manual, 2009.2 93
Figure 6-22. The Waveform Compare Wizard Flow
To use the Waveform Compare Wizard, do the following:
1. From the EZwave menu bar, select Tools > Waveform Compare > Comparison
WizardThis opens the page of the Waveform Compare Wizard (Figure 6-23).
Waveform Compare
Select Datasets
Comparison
Method?
Select Waveforms Select Hierarchy
Add More
Waveforms?
Compute Differences
Yes
No
Compare All By Hierarchy
By Waveform
EZwave Users and Reference Manual, 2009.2 94
Analysis
Comparing Waveforms
Figure 6-23. Waveform Compare Wizard, Selecting Datasets
2. Select the reference dataset in the Choose Reference Dataset FromList or Disk field.
Use the dropdown list to choose fromrecently opened databases, or click the folder icon
to use a file browser to select the reference database.
3. Select the test dataset in the Choose Test Dataset From List or Disk field. By default,
the currently open database is used as the test dataset (indicated by the Use Current
Database (database_name) radio button). To specify a different database than the
current one, use the dropdown list or the file browser to choose the test database.
4. Click Next to move on to the Comparison Method page of the Waveform Compare
Wizard.
Analysis
Comparing Waveforms
EZwave Users and Reference Manual, 2009.2 95
Figure 6-24. Waveform Compare Wizard, Comparison Method Selection
5. Select from the following three comparison methods:
o Compare All Waveforms
Select this to compare all available waveforms in the test dataset against the same
waveforms in the reference dataset. With this option selected, clicking Next begins
the waveform comparison.
o Specify Comparison By Waveform
Select this to specify the waveforms to compare. With this option selected, clicking
Next advances the Waveform Compare Wizard to the next page, where you select
which waveforms you want to compare.
EZwave Users and Reference Manual, 2009.2 96
Analysis
Comparing Waveforms
Figure 6-25. Waveform Compare by Waveform
To specify waveforms for comparison, do the following:
i. Click Add on the Add Waveforms From Reference Database side of the
window. This opens the Add Waveforms dialog box.
Figure 6-26. Add Waveforms Dialog Box
Analysis
Comparing Waveforms
EZwave Users and Reference Manual, 2009.2 97
ii. In the Add Waveforms dialog box, select one or more waveforms (select
multiple waveforms by holding down CTRL or Shift while selecting).
iii. Click OK. The selected waveforms are added to the Reference side of the
Waveform Compare Wizard.
iv. By default, the Take Corresponding Waveforms in Test Database option is
selected, indicating that the WaveformCompare Tool will search for waveforms
in the test dataset whose names match the specified reference waveforms.
v. If you want to compare waveforms with different names, uncheck Take
Corresponding Waveforms in Test Database, and add test waveforms
similarly to how you added reference waveforms.
When comparing waveforms with different names, the first waveformdefined in
the list of reference waveforms is compared with the first waveform defined in
the list of test waveforms, and so on, regardless of their names.
o Specify Comparison By Hierarchy Level
Select this to specify a hierarchy level for comparison. With this option selected,
clicking Next advances the WaveformCompare Wizard to the next page, where you
select which hierarchy you want to compare (Figure 6-27).
To choose a hierarchy level, do the following:
i. Select the reference waveform hierarchy from the EZwave waveform list
(Figure 6-28).
ii. In the Reference Hierarchy Level field, click the Add Selected Hierarchy
button. This populates the field with the selected waveform hierarchy.
iii. By default, the same hierarchy level name is searched in the test database for the
comparison. If you want to specify a different hierarchy level for comparison,
select Specify a different name for test Hierarchy Level. You can then choose
the test hierarchy level for comparison.
iv. In the Compare Waveforms of Type area, select the types of waveforms to
include in the comparison and whether to search the hierarchies recursively.
EZwave Users and Reference Manual, 2009.2 98
Analysis
Comparing Waveforms
Figure 6-27. Waveform Compare by Hierarchy
Figure 6-28. A Selected Hierarchy in the Waveform List
Analysis
Comparing Waveforms
EZwave Users and Reference Manual, 2009.2 99
6. After you define the waveform or hierarchy for comparison, you can choose to define
more waveforms for comparison. If so, repeat step 5.
7. Once youre done defining waveforms, select No on the Would you like to add more
waveforms to the comparison page of the Waveform Import Wizard.
8. Click Next.
9. Click Finish on the last page of the WaveformCompare Wizard to begin computing the
waveform differences.
The results of the waveformcomparison are displayed in the graph window. Waveforms
that contain differences with respect to the reference waveform are marked by a red X
by its name and those difference portions of the waveformare highlighted (Figure 6-29).
10. To generate a report, see Generating a Waveform Comparison Report on page 105.
11. To add additional waveforms for comparison, see Selecting Waveforms for
Comparison on page 100.
12. After adding additional waveforms for comparison, run the comparison again by
selecting from the menu bar, Tools > Waveform Compare > Run Comparison.
13. When you are finished, select from the menu bar, Tools > WaveformCompare > End
Comparison.
Figure 6-29. Waveform Comparison Results
Manually Comparing Waveforms
The following steps are involved in manually comparing waveforms (without the use of the
Waveform Compare Wizard):
Starting a Comparison
Selecting Waveforms for Comparison
Running a Comparison
Generating a Waveform Comparison Report
EZwave Users and Reference Manual, 2009.2 100
Analysis
Comparing Waveforms
Starting a Comparison
Do the following to begin a manual waveform comparison:
1. Select Tools > Waveform Compare > Start ComparisonThis opens the Select
Datasets dialog box (Figure 6-30).
2. Select the reference dataset in the Choose Reference Dataset FromList or Disk field.
Use the dropdown list to choose fromrecently opened databases, or click the folder icon
to use a file browser to select the reference database.
3. Select the test dataset in the Choose Test Dataset From List or Disk field. By default,
the currently open database is used as the test dataset (indicated by the Use Current
Database (database_name) radio button). To specify a different database than the
current one, use the dropdown list or the file browser to choose the test database.
4. Click OK to close the Select Datasets dialog box.
Figure 6-30. Select Datasets Dialog Box
Selecting Waveforms for Comparison
Once youve started a waveformcomparison, you can begin to add waveforms. You can choose
the waveforms for comparison with the following three methods:
Compare All Waveforms
Compare by Waveforms
Compare by Hierarchy
Compare All Waveforms
To specify that you want to compare all available waveforms in the test dataset against the same
waveforms in the reference dataset, do the following
Analysis
Comparing Waveforms
EZwave Users and Reference Manual, 2009.2 101
1. Select Tools > Waveform Compare > Add > Compare All Waveforms.
Compare by Waveforms
To specify waveforms for comparison, do the following:
1. Select Tools > Waveform Compare > Add > Compare by WaveformsThis
opens the Select Waveforms dialog box.
Figure 6-31. Select Waveforms Dialog Box
2. Click Add on the Add Waveforms From Reference Database side of the window.
This opens the Add Waveforms dialog box.
3. In the Add Waveforms dialog box, select one or more waveforms (select multiple
waveforms by holding down CTRL or Shift while selecting).
4. Click OK. The selected waveforms are added to the Reference side of the Waveform
Compare Wizard.
5. By default, the Take Corresponding Waveforms in Test Database option is selected,
indicating that the Waveform Compare Tool will search for waveforms in the test
dataset whose names match the specified reference waveforms.
6. If you want to compare waveforms with different names, uncheck Take Corresponding
Waveforms in Test Database, and add test waveforms similarly to how you added
reference waveforms.
EZwave Users and Reference Manual, 2009.2 102
Analysis
Comparing Waveforms
When comparing waveforms with different names, the first waveformdefined in the list
of reference waveforms is compared with the first waveform defined in the list of test
waveforms, and so on, regardless of their names.
7. Click OK to close the Select Waveforms dialog box.
Compare by Hierarchy
To specify waveforms for comparison by hierarchy, do the following:
1. Select Tools > WaveformCompare > Add> Compare by HierarchyThis opens
the Select Hierarchy dialog box.
Figure 6-32. Waveform Compare by Hierarchy
2. Select the reference waveform hierarchy from the EZwave waveform list.
3. In the Reference Hierarchy Level field, click the Add Selected Hierarchy button.
This populates the field with the selected waveform hierarchy.
4. By default, the same hierarchy level name is searched in the test database for the
comparison. If you want to specify a different hierarchy level for comparison, select
Specify a different name for test Hierarchy Level. You can then choose the test
hierarchy level for comparison.
5. In the Compare Waveforms of Type area, select the types of waveforms to include in
the comparison and whether to search the hierarchies recursively.
6. Click OK to close the Select Hierarchy dialog box.
Analysis
Comparing Waveforms
EZwave Users and Reference Manual, 2009.2 103
Running a Comparison
Once youve specified the waveforms for comparison, run the comparison by selecting Tools >
Waveform Compare > Run Comparison
You can continue to add waveforms and run comparisons until you select Tools > Waveform
Compare > End Comparison
Viewing Waveform Comparison Results
After waveform comparison, the results are displayed in a graph window. Waveforms that
contain differences with respect to the reference waveform are marked by a red x by its name.
Those difference portions of the waveform are highlighted in the graph window (Figure 6-33).
Figure 6-33. Waveform Comparison Results
Stepping Through Differences With a Cursor
You can add a cursor to the waveform comparison results and easily step through each
difference between the reference and result waveforms.
1. Add a cursor by pressing F5. Acursor is added to the waveformcomparison result graph
window.
2. In the toolbar, click the Move Cursor to Next Error icon. The icon advances the
cursor to the next difference between the reference and result waveforms.
3. To step the cursor to the previous difference between the reference and result
waveforms, click the Move Cursor to Previous Error icon.
EZwave Users and Reference Manual, 2009.2 104
Analysis
Comparing Waveforms
Figure 6-34. Stepping Through Waveform Differences With a Cursor
Displaying the Tolerance Tube for Analog Comparisons
The tolerance tube is the virtual area along the reference waveform that defines the limits
where the result waveform can deviate from the reference waveform before being considered
different. For more information on analog waveform tolerances, see The Analog Waveform
Comparison Algorithm on page 114.
In the waveformcomparison results, you can display the tolerance tube by right-clicking on one
of the waveform names in the graph window that contains the comparison results and selecting
Display Tolerance Tube (Figure 6-35).
In Figure 6-36, the the green waveform is the reference waveform. The two blue waveforms
represent the tolerance tube. When the yellow result waveform goes outside of this tube is
where it is different from the reference waveform, given the tolerances.
Analysis
Comparing Waveforms
EZwave Users and Reference Manual, 2009.2 105
Figure 6-35. Display Tolerance Tube Menu Item
Figure 6-36. Graph Window Showing Tolerance Tube
Generating a Waveform Comparison Report
After you run a manual waveform comparison, you can generate a report of the differences in a
text file. To generate a waveform comparison report, do the following:
EZwave Users and Reference Manual, 2009.2 106
Analysis
Comparing Waveforms
1. Select Tools > Waveform Compare > Differences > Write Report. This opens a file
browser where you can choose the name and location of the report.
2. In the Save Comparison Report file browser, choose a location and a name for the report
and click Save.
Comparison Report Formats
Digital to Digital Comparison Reports
For digital-digital reporting, the syntax is the same as the QuestaSimreport files. The difference
is that QuestaSim reports differences organized around time, but the EZwave reports are based
on each waveform.
The following is an example of a digital to digital comparison report:
Total differences = 100
Diff number 1, From time 2.000000e-8 s to time 4.000000e-8 s.
<vsimref>clk = '1'
<vsimres>clk = '0'
Diff number 2, From time 6.000000e-8 s to time 8.000000e-8 s.
<vsimref>clk = '1'
<vsimres>clk = '0'
Diff number 3, From time 1.200000e-7 s to time 1.400000e-7 s.
<vsimref>clk = '0'
<vsimres>clk = '1'
Diff number 4, From time 1.600000e-7 s to time 1.800000e-7 s.
<vsimref>clk = '0'
<vsimres>clk = '1'
...
Analog to Analog Comparison Reports
For analog to analog comparisons, the report indicates the number of differences found, and for
each difference, it indicates the beginning and end times where the waveforms differ. The report
also indicates the time at which the maximum Y difference is encountered, and the Y values of
reference and test waveforms.
The following is an example of an analog to analog comparison report:
Total differences = 1
Diff number 1, From time 9.329983e-5 s to time 1.198995e-4 s.
Maximum difference at time 9.329983e-5 s : deltaY = 1.172866e-4 A
<REF>I(vv1) = -1.498311e-4
<RES>I(vv1) = -3.254448e-5
Analysis
Comparing Waveforms
EZwave Users and Reference Manual, 2009.2 107
Viewing and Saving Comparison Rules
When you set up a waveform comparison, the settings (or rules) you choose for the
comparison can be viewed and saved in a Tcl file for later use. Saving comparison rules only
saves comparison options, clock definitions, and region and signal selections.
To view and save the comparison rules for a session, do the following:
1. Start a waveform comparison session, either manually or with the Waveform Compare
Wizard.
2. Make your waveform comparison rules.
3. Before you end the comparison, select Tools > Waveform Compare > Rules > Show.
The file that contains the current rules for the waveform comparison is displayed.
4. To save the comparison rules to a Tcl file, select Tools > WaveformCompare > Rules
> Saveto open the Save Comparison Rules dialog.
5. Navigate to the directory where you want to save the rules Tcl file, give the file a name,
and click Save.
Setting Comparison Options
To set comparison options, select Tools > Waveform Compare > Optionsto open the three-
tabbed Comparison Options dialog box. Use the three tabs to do the following:
Setting General Comparison Options
Setting Comparison Method Options
Setting AMS Options for Waveform Comparison
EZwave Users and Reference Manual, 2009.2 108
Analysis
Comparing Waveforms
Setting General Comparison Options
Figure 6-37. Comparison Options, General Options Tab
To set the global options for comparison, do the following:
1. In the Comparison Limit Count fields, specify the maximum number of differences
(Total Limit) and differences per signal (Per Signal Limit) allowed before the
comparison terminates.
2. Specify VHDL and Verilog signal value matching rules in VHDL Matching and Verilog
Matching areas. The D in these matching options represent the - dont care std_logic
value.
For more information on the VHDL and Verilog matching options, refer to the Questa
SV/AFV Users Manual.
3. When making comparisons you can choose how the results are treated. Select from the
following options:
Analysis
Comparing Waveforms
EZwave Users and Reference Manual, 2009.2 109
o Automatically Add Comparison to Wave Window This option adds the
comparison results to the current wave window, including both correct (waveforms
with no differences) and erroneous (waveforms with differences) waveforms.
o Automatically Add Comparisons With Errors to Wave Window This option
adds only comparison results that contain errors (waveforms with differences) to the
current wave window.
o Do Not Plot Results of Comparison This option does not add the comparison
results to the current wave window.
Setting Comparison Method Options
You can choose how the Waveform Compare Tool compares digital waveforms. The two
methods for comparing digital waveforms are as follows:
Continuous Comparison (default)
Clocked Comparison
Figure 6-38. Comparison Options, Comparison Method Tab
EZwave Users and Reference Manual, 2009.2 110
Analysis
Comparing Waveforms
Continuous Comparison
By default, the Waveform Compare Tool uses the Continuous Comparison method. In this
method, test signals are compared to reference signals at each transition (for digital-digital
comparisons) or at each simulated data point (for analog-analog and mixed signal comparisons)
of the reference waveform.
The Leading Tolerance and Trailing Tolerance apply only to digital-digital comparisons. To
specify tolerances for analog-analog or mixed comparisons, see Analog Comparison on
page 112.
Clocked Comparison
In the Clocked Comparison method, you define a clock to use as a trigger waveform for the
comparison. Signals are compared only at or just after an edge on some signal. In this mode,
you define one or more clocks. The test signal is compared to a reference signal and both are
sampled relative to the defined clock. The clock can be defined as the rising or falling edge (or
either edge) of a particular signal plus a user-specified delay. The design need not have any
events occurring at the specified clock time.
The clocked comparison settings here will also apply to analog-analog and analog-digital
comparisons.
When you define the clock, it can also apply to an analog waveform. The edges, rising or
falling, are automatically calculated using functions available in the EZwave Measurement
Tool.
To use the clocked comparison method, do the following:
1. Select Clocked Comparison.
2. Select a waveform from the dropdown list or use the Use the Add Selected Waveform
button to add the currently selected waveform to the list.
3. Click Clocks to open the clocks list box (Figure 6-39). This dialog box lists all the
clocks youve defined.
4. Click Addto open the Add Clocks dialog box (Figure 6-40). If you want to modify a
clock youve previously added, select it from the list of clocks and click Modify
5. Specify a name for this clock definition in the Clock Name field.
6. If you want to set the Delay Signal Offset, enter a value in the field. By default, this is 0.
7. In the Based on Waveformfield, select the waveformwhose edges are to be used as the
strobe trigger.
8. In the Compare Strobe Edge field, select which edge to use in the clocked comparison.
By default, only the rising edge is used.
Analysis
Comparing Waveforms
EZwave Users and Reference Manual, 2009.2 111
9. Click OK to save the settings.
Figure 6-39. Clocks Dialog Box
Figure 6-40. Add Clocks Dialog Box
Setting AMS Options for Waveform Comparison
These options are an extension of the Continuous Comparison of digital-digital compare
options. Use these options for analog-analog and mixed-signal comparison. You can set the
options for the following two types of comparison:
EZwave Users and Reference Manual, 2009.2 112
Analysis
Comparing Waveforms
Figure 6-41. Waveform Compare AMS Options
Analog Comparison
The analog comparison options enable you to specify the X and Y tolerances, or use the default
tolerances.
When you select Use Automatic X Tolerance or Use Automatic Y Tolerance, the Waveform
Compare Tool uses the following formulas to calculate the tolerances:
X Tolerance
Y Tolerance
The analog-analog comparison is based on waveform data points provided by the simulator, for
both the reference and the test waveform.
When you choose to specify the tolerances, you can use percentage or numerical values. If you
specify a percentage value (for example, 0.1%), it defines a tolerance value relative to the
x_max x_min
number_of_points
--------------------------------------------
0.01 y_max y_min ( )
Analysis
Comparing Waveforms
EZwave Users and Reference Manual, 2009.2 113
minimum and maximum of the waveform (in this example, 0.1% of (x_max x_min) or 0.1%
of (y_max y_min)). If you instead specify a numerical tolerance value (for example, 0.1), it
defines an absolute tolerance to be used around the X or Y values.
In Figure 6-42, the blue waveform represents the reference waveform, and the green waveform
represents the test waveform. The magenta waveforms are the virtual tubes that represent the
tolerances.
For details on how the waveform compare algorithm uses tolerances, see The Analog
Waveform Comparison Algorithm on page 114.
Note
These tolerances apply only to analog-analog and mixed comparisons. To specify leading
and trailing tolerances for digital-digital comparisons, see Continuous Comparison on
page 110.
Figure 6-42. Waveform Compare Showing Tolerance
Mixed Comparison
When comparing mixed-signal waveforms, the Waveform Compare Tool first converts the
analog waveform into a digital waveform. Then it applies a digital-digital comparison (the
comparison function is based on the least accurate waveform).
The Mixed Comparison options contains the following settings:
EZwave Users and Reference Manual, 2009.2 114
Analysis
Comparing Waveforms
Analog to Digital Conversion Options
Specify whether you want to apply a Single Threshold (default) or Two Thresholds for
the analog to digital conversion.
By default, the Use Automatic Thresholds option is checked, specifying that the
thresholds are deduced from the input waveforms. Uncheck this to specify fixed values
for each of the thresholds.
Tolerances
Once the Waveform Compare Tool converts the waveform from analog to digital, it
applies the digital-digital comparison. Here you can specify a leading and trailing
tolerance, different than those defined for pure digital-digital comparisons (the
conversion introduces a lack of precision that implies larger tolerances).
The Analog Waveform Comparison Algorithm
The EZwave waveform comparison algorithm is based only on waveformdata points of both
the reference and result waveforms.
At each X value on either the reference or result waveform, the calculations are executed on the
reference waveform as illustrated in Figure 6-43. The blue line is the reference waveform and
the green line is the result waveform. Xtol and Ytol are the tolerances for X and Y,
respectively.
Figure 6-43. Calculations on the Reference Waveform
Analysis
Comparing Waveforms
EZwave Users and Reference Manual, 2009.2 115
Using the default or defined X tolerances (see Analog Comparison on page 112), the
algorithm calculates (X Xtol) and (X + Xtol). These values are used with the X value to
deduce (x, y) corresponding data points to the reference waveform.
At each (x, y) data point, the algorithm calculates (Y Ytol) and (Y + Ytol). These values are
used to deduce the maximum and minimum Y values.
These calculations form the tolerance area (the red rectangle in Figure 6-43). During
comparison, the result waveformis checked to see if it lies in the tolerance area at the original X
data point.
The tolerances define a virtual tube around the reference waveform. The WaveformCompare
Tool checks to see if the test waveform is inside the tube, and reports a difference if the test
waveform is outside the tube. In Figure 6-44, the blue reference waveform is surrounded by
two magenta waveforms that represent the tolerance tube. The green result waveform is only
highlighted (red vertical highlight) at the area where it is outside the tube.
Figure 6-44. Tolerance Tube
The difference highlight is calculated fromthe first data point found outside of the tube to the
last data point found outside of the tube (Figure 6-45).
EZwave Users and Reference Manual, 2009.2 116
Analysis
Comparing Waveforms
Figure 6-45. Data Points Outside of the Tolerance Tube
EZwave Users and Reference Manual, 2009.2 117
Chapter 7
Post-Processing
After analyzing the simulator output data, A number of powerful utilities are provided for
processing the data and transforming the raw data to specific characteristic information. Using
tools like the WaveformMeasurement Tool and the WaveformCalculator, the user can perform
sophisticated calculation with a combination of built-in or user-defined arithmetic (such as log,
sin, cos) and logical (such as AND, OR, XOR) functions. The calculation results can be
waveforms, vectors, or scalar values.
Typical tasks include:
Forming a Bus
Transforming Analog Waveforms to Digital
Transforming Digital Waveforms to Analog
Using the Measurement Tool
Using the Waveform Calculator
Creating a Bus
This tool allows you to create your own bus from selected digital waveforms (or so called bits)
by performing the following steps:
1. Select the bits that you want to group, either from the active Graph Window or from the
Waveform List panel. You may make multiple selection by keeping the Control key
pressed while clicking on the waveforms or waveform labels.
2. Click the Tools > Create Bus menu item to open the Create Bus dialog. The dialog
shows the default name of the bus and the content of the bus.Additionally, you can bring
up the popup menu by a Shift-select or Ctrl-select of multiple waveforms followed by a
right-mouse click and selecting Plot as Bus from the popup menu. This uses identical
settings to the Create Bus option defaults.
Add Waveforms Set Up and Load
Data
Analysis Post-Processing Save and Output
Data
EZwave Users and Reference Manual, 2009.2 118
Post-Processing
Creating a Bus
Figure 7-1. Create Bus Dialog Box
3. Name the bus by clicking in the text window of the Bus Options field and entering the
desired bus name.
4. Click on the pulldown menu next to Radix and select Two's Complement, Binary,
Decimal, Hexadecimal, Octal, or Ascii radix.
5. The Bits in Bus window lists all of the selected waveform names. The waveform
selected first is used as the Most Significant Bit (MSB) of the bus, and the waveform
selected last is used as the Least Significant Bit (LSB).
Post-Processing
Transforming Analog Waveform(s) to Digital
EZwave Users and Reference Manual, 2009.2 119
6. If the bus is an analog or hybrid bus, the analog signals will be automatically
transformed to digital. You may use Single Threshold or Two Thresholds to digitize
the input waveform(s). Click in the text windows of the Transformation Setup field and
change the default to desired threshold values.
7. Click OK to apply or click Cancel to abort the bus creation.
The content of the bus can be modified by changing the MSB and the LSB, flipping the bits of
the bus, inverting the bits of the bus, and/or adding extra bits to the bus.
To add bits to the bus:
a. Click Add to pop up the Add Bits to Bus dialog box.
b. The Add Bits to Bus dialog box lists all of the digital waveforms in the database not
already included in the bus. Select one or multiple waveforms and click OK to add
these to the bus.
Click Invert to invert the bits of the bus.
Select waveform name(s) in the Bits in Bus window, and click Move Up to move these
bit(s) one bit upward.
Select waveform name(s) in the Bits in Bus window, and click Move Down to move
these bit(s) one bit downward.
Transforming Analog Waveform(s) to Digital
When you need to take an analog waveform and create a form of a digital waveform, perform
the following steps:
1. Select the waveform in the active Graph Window and right-click to display the popup
menu.
2. Select Analog to Digital from the popup menu, and the "Analog to Digital Conversion"
dialog appears.
EZwave Users and Reference Manual, 2009.2 120
Post-Processing
Transforming Digital Waveform(s) to Analog
Figure 7-2. Analog to Digital Conversion Dialog Box
3. Name the new waveform by clicking in the text window of the Digitized Waveform(s)
field and changing the default to a desired waveform name.
4. To plot the digitized waveform in a Stacked new Graph Row or Overlaid with the
original waveform, make your selection by checking one of the circles in the Plot
Option.
5. Clear Plot Result Waveform(s) if you do not want to plot the digitized waveformto the
active Graph Window.
6. You may use Single Threshold or Two Thresholds to digitize the input waveform.
Click in the text windows of the Transformation Setup field and change the default to
desired threshold values. Click
7. OK to perform the transformation, or click Cancel to abort and close the dialog.
Transforming Digital Waveform(s) to Analog
You can transform digital waveforms to analog. All analog waveforms created in this manner
are created in the "calc" database.
To create an analog waveform of a digital signal or bus, perform the following steps:
Post-Processing
Transforming Digital Waveform(s) to Analog
EZwave Users and Reference Manual, 2009.2 121
1. Select the digital signal or bus in the active Graph Window and right-click to display the
popup menu.
2. Select Digital to Analog from the popup menu. The Digital to Analog Conversion
dialog box appears. Depending on whether you have selected an individual signal or
signals, a bus, or both, the dialog box may include a section for Bus Transformation
Setup, Bit Transformation Setup, or both.
Figure 7-3. Resulting Analog Waveform Options
3. In the Name text window, change the default name to a desired waveform name. If
multiple waveforms are selected, the Name text window is dimmed out and the default
names are used.
4. Select whether to plot the resulting waveformin a Stacked newGraph Rowor Overlaid
with the original waveform.
5. If you do not want to plot the resulting waveform to the active Graph Window, clear the
Plot Result Waveform(s) box.
Bus Transformation Setup
Figure 7-4. Bus Transformation Setup Options
1. Specify the Radix by using the dropdown menu and selecting one of the following
values: Two's Complement (signed), Binary, Hexadecimal, Octal, Unsigned
Decimal, (all unsigned), or Ascii.
EZwave Users and Reference Manual, 2009.2 122
Post-Processing
Transforming Digital Waveform(s) to Analog
2. Specify the Value by entering an addition value and then a multiplication value. If the
bus value is one of the standard logic states, then the analog value is the same as the
previous analog value, or 0.0 in the case of the initial value.
3. Select either Do Not Interpolate or Interpolate.
If you select Do Not Interpolate, specify if you want to Use Commutation Time and
enter the desired time. This is the time necessary to switch from the previous bus value
to the new bus value.
4. Click OK to perform the transformation, or click Cancel to abort and close the dialog.
Bit Transformation Setup
Figure 7-5. Bit Transformation Setup Options
1. Each digital value has a default real (analog) assigned. To use a different value, enter the
corresponding analog value as a numeric figure or engineering value. The possible
digital values are Forcing Zero, Forcing One, Weak Zero, Weak One, Forcing
Unknown, Weak Unknown, High Impedance, Uninitialized, and Do not care.
Values that have been used previously in a session are automatically remembered for
future transformations.
2. Under Commutation Time Values, enter the Rise Time (the necessary time to go from
'0' to '1') and Fall Time (the necessary time to go from '1' to '0').
3. Click OK to perform the transformation, or click Cancel to abort and close the dialog.
For bit transformations, this process is only implemented for "standard logic" waveforms and
other digital types that can be internally converted to standard logic waveforms (bit, Boolean,
and Verilog logic).
Post-Processing
Using the Measurement Tool
EZwave Users and Reference Manual, 2009.2 123
Using the Measurement Tool
The Measurement Tool can be used to measure a wide variety of values among the various
elements of a compound waveform.
The Measurement Tool can be used to measure the values of a waveform shown in Table 7-1
Opening the Measurement Tool
Use the Tools > Measurement Tool menu item to open the Measurement Tool
window, or right-click on an element or the waveformname in the active graph window.
Select a measurement type and a category using the pulldown menus.
Specifying Elements of a Waveform
Select a waveform element or waveform label in the active Graph Window. To select
more than one element use the shift key while clicking on additional elements.
Click on the select button to add the selected waveform to the Source Waveform field.
Alternatively, use the Parameter Table to select the desired elements.
Setting Up Measurement Criteria
Specify a Topline value or use the default value. The default value can be automatically
calculated. Click the preview button to display the Topline level on the specified
waveform.
Table 7-1. Measurement Tool Values
Eye Diagram Frequency Domain General Statistical Time Domain
Eye Height Bandpass Average Maximum Delay
Eye Width Gain Margin Crossing Mean Duty Cycle
Phase Margin Intersect Mean +3 Std Dev Falltime
Local Max Mean -3 Std Dev Frequency
Local Min Minimum Overshoot
Maximum RMS Period
Minimum RMS AC Pulse Width
Peak to Peak RMS Noise Risetime
Slope RMS Tran Settle Time
Slope Intersect Standard Deviation Slew Rate
YVal Undershoot
EZwave Users and Reference Manual, 2009.2 124
Post-Processing
Measurement - Eye Diagram
Specify a Baseline value or use the default value. The default value can be automatically
calculated. Click the preview button to display the Baseline level on the specified
waveform.
Setting Up Measurement Result Presentation
To present the multiple measurement results, check either Annotate Waveform(s) with
Result Marker(s) or Plot New Waveform. If Plot New Waveform is selected a new
graph window will open if necessary (if the new X axis is incompatible with the existing
X axis).
To view the other measurement results after the measurement is performed, select the
displayed result marker, right-click the mouse to display the popup menu, and select
Measurement Results from the menu to display the Measurement Result window.
Depending on the measurement selected, various information will be displayed in the
popup window.
If you are measuring a compound waveform, you have several extra options when you
use the Plot New Waveform option. A pulldown menu appears for both X and Y
selections (if available). The X axis pulldown options will change depending on the
measurement type (such as Average or Bandpass). For the Y axis, you can choose
between C1 (a parameter that the simulations were swept based upon), index, or time,
depending on the measurement type.
Applying measurement
To apply the measurement to the Entire Waveform, Visible X Region, or Between
Two Cursors, click the pulldown menu next to Apply Measurement to and make your
selection accordingly.
To remove all previous measurements, check the box of Remove All Previous Results.
Click Apply to perform the measurement, or click Close to exit the Measurement Tool.
Measurement - Eye Diagram
Eye Height
This measurement finds and displays the eye height of the eye diagram.
Opening the Measurement Tool
Use the Tools > Measurement Tool menu item to pop up the Measurement Tool
Window.
Eye Height Eye Width
Post-Processing
Measurement - Eye Diagram
EZwave Users and Reference Manual, 2009.2 125
Next to Measurement, there are two pulldown menus. On the left pulldown menu,
select the category Eye Diagram.
Change the right pulldown menu to Eye Height.
Specifying a Waveform
Select the waveform or waveform label in the active Graph Window.
Click on the select button to add the selected waveform to the Source Waveform
field.
Setting Up Measurement Criteria
Select one or more of the available measurements: Eye Height and Eye Width.
Applying Measurement
Eye height and eye width measurements can only be applied to entire waveforms. This
allows only one choice, Entire Waveform, in the Apply Measurement to field.
To remove all previous measurements, check the box of Remove All Previous "Eye
Height" Results.
Click Apply to perform the measurement; or click Close to pop down the Measurement
Tool Window.
Calculation Notes
The Eye Height is calculated as the difference between the High Level - 3 stdev and the Low
Level + 3 stdev.
Eye Width
This measurement finds and displays the eye width of the eye diagram.
Opening the Measurement Tool
Use the Tools > Measurement Tool menu item to pop up the Measurement Tool
Window.
Next to Measurement, there are two pulldown menus. On the left pulldown menu,
select the category Eye Diagram.
Change the right pulldown menu to Eye Width.
EZwave Users and Reference Manual, 2009.2 126
Post-Processing
Measurement - Frequency Domain
Specifying a Waveform
Select the waveform or waveform label in the active Graph Window.
Click on the select button to add the selected waveform to the Source Waveform
field.
Setting Up Measurement Criteria
Select one or more of the available measurements: Eye Height and Eye Width.
Applying Measurement
Eye height and eye width measurements can only be applied to entire waveforms. This
allows only one choice, Entire Waveform, in the Apply Measurement to field.
To remove all previous measurements, check the box of Remove All Previous "Eye
Width" Results.
Click Apply to perform the measurement; or click Close to pop down the Measurement
Tool Window.
Calculation Notes
The Eye Width is calculated as the difference between the Cross Eye + 3 stdev and the Cross
Eye - 3 stdev.
Measurement - Frequency Domain
Bandpass
This measurement finds and displays the bandwidth, the lower band edge, upper band edge,
center frequency and quality factor, and the level at which the measurement is made for a
bandpass-shaped waveform.
Opening the Measurement Tool
Use the Tools > Measurement Tool menu item to pop up the Measurement Tool
Window.
Next to Measurement, there are two pulldown menus. On the left pulldown menu,
select the category Frequency Domain.
Bandpass Gain Margin Phase Margin
Post-Processing
Measurement - Frequency Domain
EZwave Users and Reference Manual, 2009.2 127
Change the right pulldown menu to Bandpass.
Specifying a Waveform
Select the waveform or waveform label in the active Graph Window.
Click on the select button to add the selected waveform to the Source Waveform
field.
Setting Up Measurement Criteria
Specify a Topline value or use the default value. The default value is automatically
calculated. Click on the preview button to display the Topline level on the specified
waveform.
Specify an Offset value to be applied relative to the Topline value. The Offset is always
in dB, and you must also include a sign, minus(-) or plus(+), along with the specified
level.
Applying Measurement
To apply the measurement to the Entire Waveform, Visible X Region, or Between
Two Cursors, click on the pulldown menu next to Apply Measurement to and make
your selection accordingly.
To remove all previous measurements, check the box of Remove All Previous
"Bandpass" Results.
Click Apply to perform the measurement; or click Close to pop down the Measurement
Tool Window.
Calculation Notes
The measurement level, either "Topline - Offset" or "Topline + Offset", is used to determine the
following bandpass measurement:
Lower band cutoff frequency (F-low): the frequency that the frequency response falls
crossing the measurement level before the maximum point.
Higher band cutoff frequency (F-high): the frequency that the frequency response falls
crossing the measurement level after the maximum point.
Center frequency (F-center): calculated as sqrt(F-high * F-low)
Bandwidth: calculated as (F-high - F-low)
Quality factor (Q): calculated as (F-center / Bandwidth)
EZwave Users and Reference Manual, 2009.2 128
Post-Processing
Measurement - Frequency Domain
Gain Margin
This measurement finds and displays the gain margin in decibels (dB) and the associated
crossover frequencies of a complex waveform. The gain margin is defined as the difference
between the gain of the measured waveform and 0 dB (unity gain) at the frequency where the
phase shift is -180 degrees (Phase Crossover Frequency). The frequency that gives a gain of 0
dB is the Gain Crossover Frequency.
The gain margin is found by first finding the X value (X will most likely be frequency but it
does not have to be) at which the phase is -180 degrees. The difference between 1.0 (or 0 dB)
and the gain at that frequency is the gain margin. Interpolation between data points will be used
to find the exact crossing points with the margin values.
The gain margin is the amount of gain increase required to make the loop gain unity at the
frequency where the phase angle is -180 degrees. In other words, the gain margin is 1/g if g is
the gain at the -180 degrees phase frequency. The frequency at which the phase is -180 degrees
is called the Phase Crossover Frequency.
It is generally found that gain margins of 3 dB or more combined with phase margins between
30 and 60 degrees result in a reasonable trade-off between bandwidth and stability.
Opening the Measurement Tool
Use the Tools > Measurement Tool menu item to pop up the Measurement Tool
Window.
Next to Measurement, there are two pulldown menus. On the left pulldown menu,
select the category General.
Change the right pulldown menu to Gain Margin.
Specifying a Waveform
Select the waveform or waveform label in the active Graph Window.
Click on the select button to add the selected waveform to the Source Waveform
field.
Applying Measurement
To apply the measurement to the Entire Waveform, Visible X Region, or Between
Two Cursors, click on the pulldown menu next to Apply Measurement to and make
your selection accordingly.
To remove all previous measurements, check the box of Remove All Previous "Gain
Margin" Results.
Post-Processing
Measurement - Frequency Domain
EZwave Users and Reference Manual, 2009.2 129
Click Apply to perform the measurement, or click Close to pop down the Measurement
Tool Window.
Phase Margin
This measurement finds and displays the phase margin of a complex waveform in degrees or
radians. The phase margin is defined as the difference in phase between the measured waveform
and -180 degrees at the point corresponding to the frequency that gives us a gain of 0 dB (the
Gain Crossover Frequency). The frequency where the phase shift is -180 degrees is the Phase
Crossover Frequency.
The phase margin is found by first finding the X value (X will most likely be frequency but it
does not have to be) at which the magnitude is 1.0 (or 0 dB). The difference between the phase
of the response and -180 degrees when the loop gain is 1.0 is phase margin. Interpolation
between data points will be used to find the exact crossing points with the margin values.
The frequency at which the magnitude is 1.0 is called the Unity-Gain Frequency or Crossover
Frequency.
It is generally found that gain margins of 3 dB or more combined with phase margins between
30 and 60 degrees result in a reasonable trade-off between bandwidth and stability.
Opening the Measurement Tool
Use the Tools > Measurement Tool menu item to pop up the Measurement Tool
Window.
Next to Measurement, there are two pulldown menus. On the left pulldown menu,
select the category General.
Change the right pulldown menu to Phase Margin.
Specifying a Waveform
Select the waveform or waveform label in the active Graph Window.
Click on the select button to add the selected waveform to the Source Waveform
field.
Applying Measurement
To apply the measurement to the Entire Waveform, Visible X Region, or Between
Two Cursors, click on the pulldown menu next to Apply Measurement to and make
your selection accordingly.
To remove all previous measurements, check the box of Remove All Previous "Phase
Margin" Results.
EZwave Users and Reference Manual, 2009.2 130
Post-Processing
Measurement - General
Click Apply to perform the measurement, or click Close to pop down the Measurement
Tool Window.
Measurement - General
Average
This measurement finds and displays the average value of the specified waveform.
Opening the Measurement Tool
Use the Tools > Measurement Tool menu item to pop up the Measurement Tool
Window.
Next to Measurement, there are two pulldown menus. On the left pulldown menu,
select the category General.
Change the right pulldown menu to Average.
Specifying a Waveform
Select the waveform or waveform label in the active Graph Window.
Click on the select button to add the selected waveform to the Source Waveform
field.
Setting Up the Measurement
You can select Average, Peak to Peak, Minimum, Maximum, or any combination as
desired measurement types in the Measurement Setup area.
Applying Measurement
To apply the measurement to the Entire Waveform, Visible X Region, or Between
Two Cursors, click on the pulldown menu next to Apply Measurement to and make
your selection accordingly.
To remove all previous measurements, check the box of Remove All Previous
"Average" Results.
Average Crossing Intersect
Local Max Local Min Maximum
Minimum Peak to Peak Slope
Slope Intersect YVal
Post-Processing
Measurement - General
EZwave Users and Reference Manual, 2009.2 131
Click Apply to perform the measurement; or click Close to pop down the Measurement
Tool Window.
Calculation Notes
The average value of a waveform is calculated as follows:
Figure 7-6. Calculation of the Average Value of a Waveform
where W represents the waveform, and Xmin and Xmax are the beginning and the end points
for the waveform.
Crossing
This measurement finds and displays the intersection points between a waveform and a
reference Y level. The level is determined as Y = <value>.
Opening the Measurement Tool
Use the Tools > Measurement Tool menu item to pop up the Measurement Tool
Window.
Next to Measurement, there are two pulldown menus. On the left pulldown menu,
select the category General.
Change the right pulldown menu to Crossing.
Specifying a Waveform
Select the waveform or waveform label in the active Graph Window.
Click on the select button to add the selected waveform to the Source Waveform
field.
Setting Up Measurement Criteria
Specify a Y value or use the default value. The default value is automatically calculated.
Click on the preview button to display the Topline level on the specified waveform.
Specify a Slope Trigger. Select from Positive and Negative, Positive Only, or Negative
Only.
EZwave Users and Reference Manual, 2009.2 132
Post-Processing
Measurement - General
Applying Measurement
To apply the measurement to the Entire Waveform, Visible X Region, or Between
Two Cursors, click on the pulldown menu next to Apply Measurement to and make
your selection accordingly.
To remove all previous measurements, check the box of Remove All Previous
"Crossing" Results.
Click Apply to perform the measurement, or click Close to pop down the Measurement
Tool Window.
Intersect
This measurement finds and displays the intersection points between two waveforms.
Interpolation between data points will be used to find the exact intersection points between the
two waveforms. The result could be either X data or (X, Y) data.
Opening the Measurement Tool
Use the Tools > Measurement Tool menu item to pop up the Measurement Tool
Window.
Next to Measurement, there are two pulldown menus. On the left pulldown menu,
select the category General.
Change the right pulldown menu to Intersect.
Selecting waveforms
Select the waveforms or waveform labels in the active Graph Window.
Click on the select button to add the selected waveforms to the Source Waveform
field. The waveform first selected will be added as waveform #1 and the waveform
selected next will be added as waveform #2.
Setting Up Measurement Criteria
Waveform (#1)
Click to specify the Slope Type - Either Positive or Negative Slope, Positive Slope, or
Negative Slope.
Reference Waveform (#2)
Specify the Slope Relationship. Click on the pulldown menu next to Slope Relationship
and select between Non-Inverting and Inverting.
Post-Processing
Measurement - General
EZwave Users and Reference Manual, 2009.2 133
Setting Up Measurement Result Presentation
To present the multiple measurement results, check either Annotate Waveform(s) with
Result Marker(s) or Plot New Waveform of "Intersect" vs "Time".
To view the other measurement results after the measurement is performed, select the
displayed result marker, right-click the mouse to display the popup menu, and select
Measurement Results... from the menu to display the Measurement Result Window.
Applying Measurement
To apply the measurement to the Entire Waveform, Visible X Region, or Between
Two Cursors, click on the pulldown menu next to Apply Measurement to and make
your selection accordingly.
To remove all previous measurements, check the box of Remove All Previous
"Intersect" Results.
Click Apply to perform the measurement, or click Close to pop down the Measurement
Tool Window.
Local Max
This measurement finds and displays local maxima on the waveform.
Opening the Measurement Tool
Use the Tools > Measurement Tool menu item to pop up the Measurement Tool
Window.
Next to Measurement, there are two pulldown menus. On the left pulldown menu,
select the category General.
Change the right pulldown menu to Local Max.
Specifying a Waveform
Select the waveform or waveform label in the active Graph Window.
Click on the select button to add the selected waveform to the Source Waveform
field.
Viewing Measurement Results
Select Annotate Waveform(s) with Measurement Results to display the local
maximum for the specified region.
Select Plot New Waveform of "Local Max" vs "Time" to create a new waveform in
the active Graph Window that shows how the local maximum changes with time.
EZwave Users and Reference Manual, 2009.2 134
Post-Processing
Measurement - General
Applying Measurement
To apply the measurement to the Entire Waveform, Visible X Region, or Between
Two Cursors, click on the pulldown menu next to Apply Measurement to and make
your selection accordingly.
To remove all previous measurements, check the box of Remove All Previous "Local
Max" Results.
Click Apply to perform the measurement; or click Close to pop down the Measurement
Tool Window.
Local Min
This measurement finds and displays minimum value on the waveform.
Opening the Measurement Tool
Use the Tools > Measurement Tool menu item to pop up the Measurement Tool
Window.
Next to Measurement, there are two pulldown menus. On the left pulldown menu,
select the category General.
Change the right pulldown menu to Local Min.
Specifying a Waveform
Select the waveform or waveform label in the active Graph Window.
Click on the select button to add the selected waveform to the Source Waveform
field.
Viewing Measurement Results
Select Annotate Waveform(s) with Measurement Results to display the local
maximum for the specified region.
Select Plot New Waveform of "Local Min" vs "Time" to create a new waveform in
the active Graph Window that shows how the local maximum changes with time.
Applying Measurement
To apply the measurement to the Entire Waveform, Visible X Region, or Between
Two Cursors, click on the pulldown menu next to Apply Measurement to and make
your selection accordingly.
To remove all previous measurements, check the box of Remove All Previous "Local
Min" Results.
Post-Processing
Measurement - General
EZwave Users and Reference Manual, 2009.2 135
Click Apply to perform the measurement; or click Close to pop down the Measurement
Tool Window.
Maximum
This measurement finds and displays the maximum value of the waveform.
Opening the Measurement Tool
Use the Tools > Measurement Tool menu item to pop up the Measurement Tool
Window.
Next to Measurement, there are two pulldown menus. On the left pulldown menu,
select the category General.
Change the right pulldown menu to Maximum.
Specifying a Waveform
Select the waveform or waveform label in the active Graph Window.
Click on the select button to add the selected waveform to the Source Waveform
field.
Setting Up the Measurement
In addition to the Maximummeasurement, you can select additional measurement types
in the Measurement Setup area.
Applying Measurement
To apply the measurement to the Entire Waveform, Visible X Region, or Between
Two Cursors, click on the pulldown menu next to Apply Measurement to and make
your selection accordingly.
To remove all previous measurements, check the box of Remove All Previous
"Maximum" Results.
Click Apply to perform the measurement; or click Close to pop down the Measurement
Tool Window.
Minimum
This measurement finds and displays the minimum value of the waveform.
EZwave Users and Reference Manual, 2009.2 136
Post-Processing
Measurement - General
Opening the Measurement Tool
Use the Tools > Measurement Tool menu item to pop up the Measurement Tool
Window.
Next to Measurement, there are two pulldown menus. On the left pulldown menu,
select the category General.
Change the right pulldown menu to Minimum.
Specifying a Waveform
Select the waveform or waveform label in the active Graph Window.
Click on the select button to add the selected waveform to the Source Waveform
field.
Setting Up the Measurement
In addition to the Minimum measurement, you can select additional measurement types
in the Measurement Setup area.
Applying Measurement
To apply the measurement to the Entire Waveform, Visible X Region, or Between
Two Cursors, click on the pulldown menu next to Apply Measurement to and make
your selection accordingly.
To remove all previous measurements, check the box of Remove All Previous
"Minimum" Results.
Click Apply to perform the measurement; or click Close to pop down the Measurement
Tool Window.
Peak to Peak
This measurement finds and displays the peak-to-peak value of the waveform.
Opening the Measurement Tool
Use the Tools > Measurement Tool menu item to pop up the Measurement Tool
Window.
Next to Measurement, there are two pulldown menus. On the left pulldown menu,
select the category General.
Change the right pulldown menu to Peak to Peak.
Post-Processing
Measurement - General
EZwave Users and Reference Manual, 2009.2 137
Specifying a Waveform
Select the waveform or waveform label in the active Graph Window.
Click on the select button to add the selected waveform to the Source Waveform
field.
Setting Up the Measurement
In addition to the Maximummeasurement, you can select additional measurement types
in the Measurement Setup area.
Applying Measurement
To apply the measurement to the Entire Waveform, Visible X Region, or Between
Two Cursors, click on the pulldown menu next to Apply Measurement to and make
your selection accordingly.
To remove all previous measurements, check the box of Remove All Previous "Peak
to Peak" Results.
Click Apply to perform the measurement; or click Close to pop down the Measurement
Tool Window.
Slope
This measurement finds and displays the slope value of the waveform at a specified X value.
For frequency domain waveforms, this measurement can be displayed as a value per decade or a
value per octave.
Opening the Measurement Tool
Use the Tools > Measurement Tool menu item to pop up the Measurement Tool
Window.
Next to Measurement, there are two pulldown menus. On the left pulldown menu,
select the category General.
Change the right pulldown menu to Slope.
Specifying a Waveform
Select the waveform or waveform label in the active Graph Window.
Click on the select button to add the selected waveform to the Source Waveform
field.
EZwave Users and Reference Manual, 2009.2 138
Post-Processing
Measurement - General
Setting Up Measurement Criteria
Provide an absolute value as the X value and the measurement returns the Slope value at
that coordinate.
You may utilize the cursor to input the X value: move the mouse pointer close to the
active cursor, right-click to display the popup menu, and select Copy X to Clipboard
from the popup menu. Click in the text window of X in the Measurement Setup field,
right-click to display the popup menu and select Paste to enter the X value.
Click to specify the Slope Option. This field is visible only in the Frequency Domain
category. You may choose to display the Slope measurement result normally (None),
display the Slope per decade (Decade), or display the Slope per octave (Octave).
Applying Measurement
To remove all previous measurements, check the box of Remove All Previous "Slope"
Results.
Click Apply to perform the measurement; or click Close to pop down the Measurement
Tool Window.
Amarker appears on the specified waveform, showing the slope at the selected point. To
assist in understanding the slope measurement, a tangent line for the waveform is also
displayed at the marker.
To view the slope at other points along the curve, click on the marker and drag it along
the waveform. The displayed slope measurement and the tangent line change according
to the new position along the waveform.
Slope Intersect
This measurement finds and displays the slope intersect of two points at specified X values.
Opening the Measurement Tool
Use the Tools > Measurement Tool menu item to pop up the Measurement Tool
Window.
Next to Measurement, there are two pulldown menus. On the left pulldown menu,
select the category General.
Change the right pulldown menu to Slope Intersect.
Specifying Waveforms
For each waveform, select the waveform or waveform label in the active Graph
Window.
Post-Processing
Measurement - General
EZwave Users and Reference Manual, 2009.2 139
Click on the select button to add the selected waveform to the Source Waveform
field.
Setting Up Measurement Criteria
For each waveform, provide an absolute value as the X value and the measurement
returns the slope value at that coordinate and the slope intersect value between the two
slopes.
You may utilize the cursor to input the X value: move the mouse pointer close to the
active cursor, right-click to display the popup menu, and select Copy X to Clipboard
from the popup menu. Click in the text window of X in the Measurement Setup field,
right-click to display the popup menu and select Paste to enter the X value.
Applying Measurement
To remove all previous measurements, check the box of Remove All Previous "Slope
Intersect" Results.
Click Apply to perform the measurement; or click Close to pop down the Measurement
Tool Window.
Amarker appears on each of the specified waveforms, showing the slopes at the selected
points, and a marker for the slope intersect appears where the two tangent lines to the
selected points intersect.
To view the slopes and slope intersects at other points along the curves, click on a slope
marker and drag it along the waveform. The displayed slope measurement, tangent lines,
and slope intersect measurement change according to the new position along the
waveform.
YVal
This measurement finds the Y value(s) at a given X coordinate of a waveform.
For single waveforms, this measurement places a marker at the specified X coordinate that
indicates its Y value.
For compound waveforms, you can also plot the results of the Y-value measurements against a
swept parameter or index.
Opening the Measurement Tool
Use Tools > Measurement Tool to bring up the Measurement Tool Window.
Next to Measurement, there are two pulldown menus. On the left pulldown menu,
select the category General.
EZwave Users and Reference Manual, 2009.2 140
Post-Processing
Measurement - Statistical
Select YVal from the right pulldown menu.
Specifying a Waveform
Select the waveform or waveform label in the active Graph Window.
Click the select button to add the selected waveform to the Source Waveform field.
Setting Up Measurement Criteria
Provide an absolute value as the X value, and the measurement returns the Y value(s) at
that coordinate.
You can utilize the cursor to input the X value: move the mouse pointer close to the
active cursor, right-click to display the popup menu, and select Copy X to Clipboard
from the popup menu. Click in the text window of X in the Measurement Setup field,
right-click to display the popup menu and select Paste to enter the X value.
Setting Up Measurement Result Presentation
These options are only available when applying the measurement to a compound waveform.
To add a marker that displays the Yvalue of the waveformat the specified Xcoordinate,
select Annotate Waveform(s) with Measurement Results.
To plot the Y values against a swept parameter, select the name of the parameter from
the dropdown list next to Plot New Waveform of "Y" vs. When you click Apply, this
will display the results in a new graph window with the parameter values along the X
axis and the Y values along the Y axis.
To plot the Y values against the index of the swept parameters, select Index from the
dropdown list next to Plot New Waveformof "Y" vs. When you click Apply, this will
display the results in a new graph window with the parameter index along the X axis and
the Y values along the Y axis.
Applying Measurement
To remove all previous measurements, select Remove All Previous "YVal" Results.
Click Apply to perform the measurement.
Measurement - Statistical
Use the Statistical measurements to find the following values of a waveform:
Post-Processing
Measurement - Statistical
EZwave Users and Reference Manual, 2009.2 141
Opening the Measurement Tool
Use the Tools > Measurement Tool menu item to pop up the Measurement Tool
Window.
Next to Measurement, there are two pulldown menus. On the left pulldown menu,
select the category Statistical.
Change the right pulldown menu to Maximum, Mean, Mean +3 Std Dev, Mean -3 Std
Dev, Minimum, Rms, Rms AC, Rms Noise, Rms Tran, or Standard Dev.
Specifying a Waveform
Select the waveform or waveform label in the active Graph Window.
Click on the select button to add the selected waveform to the Source Waveform
field.
Setting Up the Measurement
Select one or more desired measurement types in the Measurement Setup area.
Applying Measurement
To apply the measurement to the Entire Waveform, Visible X Region, or Between
Two Cursors, click on the pulldown menu next to Apply Measurement to and make
your selection accordingly.
To remove all previous measurements, check the box of Remove All Previous Results.
Click Apply to perform the measurement; or click Close to pop down the Measurement
Tool Window.
If you choose to apply a measurement to the visible portion of a waveform, zooming out
will show the region to which the measurement applies.
Calculation Notes
The mean value of a waveform is calculated as follows:
Maximum Mean Mean +3 Std Dev
Mean -3 Std Dev Minimum Rms
Rms AC Rms Noise Rms Tran
Standard Dev
EZwave Users and Reference Manual, 2009.2 142
Post-Processing
Measurement - Time Domain
Figure 7-7. Mean Value of a Waveform Calculation
where N is the number of data points, and W
j
represents the individual data points of the
waveform.
The RMS value of a waveform v is calculated as follows:
Figure 7-8. The RMS AC Calculation:
Figure 7-9. The RMS Noise Calculation:
Figure 7-10. The RMS Tran Calculation :
Measurement - Time Domain
Delay
This measurement finds and displays the delay between the edges on one or two waveforms
relative to default (automatically calculated) or user-specified topline and baseline levels for
both the measured waveform and the reference waveform.
Delay Duty Cycle Falltime
Frequency Overshoot Period
Pulse Width Risetime Settle Time
Slew Rate Undershoot
v v ( )

v v ( )
v v ( )

time interval
------------------------------
Post-Processing
Measurement - Time Domain
EZwave Users and Reference Manual, 2009.2 143
The delay is calculated as the difference in time between two edges on one or two waveforms.
These two waveforms used for the measurement do not have to be the same waveformtype. For
analog waveforms, the delay can be measured at any percentage level relative to the Topline
level and Baseline level of either waveform. For example, to measure the delay from the 50%
level of one waveform to the 90% level of the other waveform. The analog waveforms can be
assumed to be voltage waveforms only.
It is assumed that the rising or falling edge on the reference waveform (#2) causes the
corresponding (rising or falling) edge on the measured waveform(#1) so that the reference edge
occurs prior to the measured edge.
Opening the Measurement Tool
Use the Tools > Measurement Tool menu item to pop up the Measurement Tool
Window.
Next to Measurement, there are two pulldown menus. On the left pulldown menu,
select the category Time Domain.
Change the right pulldown menu to Delay.
Selecting Waveforms
Select the waveform(s) or waveform label(s) in the active Graph Window.
Click on the select button to add the selected waveform to the Source Waveform
field. If two waveforms are selected, the waveform first selected will be added as
waveform #1 and the waveform secondly selected will be added as waveform #2.
Setting Up Measurement Criteria
Waveform (#1)
Specify a Topline value or use the default value. The default value is automatically
calculated. Click on the preview button to display the Topline level on the
waveform.
Specify a Baseline value or use the default value. The default value is automatically
calculated. Click on the preview button to display the Baseline level on the
waveform.
Click on the pulldown menu next to Delay Level to make your selection of percentage
relative to the Topline and Baseline value.
Click to specify the Edge Trigger that the measurement starts from Either Rising or
Falling Edge, Rising Edge, or Falling Edge.
Reference Waveform (#2)
EZwave Users and Reference Manual, 2009.2 144
Post-Processing
Measurement - Time Domain
Specify a reference Topline value or use the default value. The default value is
automatically calculated. Click on the preview button to display the Topline level
on the reference waveform.
Specify a reference Baseline value or use the default value. The default value is
automatically calculated. Click on the preview button to display the Baseline level
on the reference waveform.
Click on the pulldown menu next to Delay Level to make your selection of percentage
relative to the Topline and Baseline value of reference waveform.
The measurement may starts on the reference waveform at the previous edge with the
same polarity (Non-Inverting) as the measured waveform (#1) or the opposite polarity
(Inverting). Click on the pulldown menu next to Edge Relationship and make your
selection accordingly.
Select Find the Closest Reference Edge to display the reference edge nearest to the
measured edge.
Setting Up Measurement Result Presentation
To present the multiple measurement results, check either Annotate Waveform(s) with
Result Marker(s) or Plot New Waveform of "Delay" vs "Time".
To view the other measurement results after the measurement is performed, select the
displayed result marker, right-click the mouse to display the popup menu, and select
Measurement Results... from the menu to display the Measurement Result Window.
Applying Measurement
To apply the measurement to the Entire Waveform, Visible X Region, Between Two
Cursors, click on the pulldown menu next to Apply Measurement to and make your
selection accordingly.
To remove all previous measurements, check the box of Remove All Previous "Delay"
Results.
Click Apply to perform the measurement; or click Close to pop down the Measurement
Tool Window.
Duty Cycle
This measurement finds and displays the duty cycle of a periodic waveform relative to default
(automatic calculated) or user-specified topline and baseline levels. The duty cycle of the
periodic waveformis the ratio of the "high" portion of the waveformto the length of the period.
The high portion of a cycle is the duration of the positive pulse measured at the middle level.
Post-Processing
Measurement - Time Domain
EZwave Users and Reference Manual, 2009.2 145
Opening the Measurement Tool
Use the Tools > Measurement Tool menu item to pop up the Measurement Tool
Window.
Next to Measurement, there are two pulldown menus. On the left pulldown menu,
select the category Time Domain.
Change the right pulldown menu to Duty Cycle.
Specifying a Waveform
Select the waveform or waveform label in the active Graph Window.
Click on the select button to add the selected waveform to the Source Waveform
field.
Setting Up Measurement Criteria
Specify a Topline value or use the default value. The default value is automatically
calculated. Click on the preview button to display the Topline level on the specified
waveform.
Specify a Baseline value or use the default value. The default value is automatically
calculated. Click on the preview button to display the Baseline level on the
specified waveform.
Specify the Edge Trigger that the measurement starts from to be Either Rising or
Falling Edge, Rising Edge, or Falling Edge.
Setting Up Measurement Result Presentation
To present the multiple measurement results, check either Annotate Waveform with
Result Marker(s) or Plot New Waveform of "Duty Cycle" vs "Time".
To view the other measurement results after the measurement is performed, select the
displayed result marker, right-click the mouse to display the popup menu, and select
Measurement Results... from the menu to display the Measurement Result Window.
Applying Measurement
To apply the measurement to the Entire Waveform, Visible X Region, Between Two
Cursors click on the pulldown menu next to Apply Measurement to and make your
selection accordingly.
To remove all previous measurements, check the box of Remove All Previous "Duty
Cycle" Results.
EZwave Users and Reference Manual, 2009.2 146
Post-Processing
Measurement - Time Domain
Click Apply to perform the measurement; or click Close to pop down the Measurement
Tool Window.
Falltime
This measurement finds and displays the falltime between specified upper and lower levels of a
waveform. The falltime is calculated as the difference in time when the waveformfalls fromthe
upper level to the lower level.
Opening the Measurement Tool
Use the Tools > Measurement Tool menu item to pop up the Measurement Tool
Window.
Next to Measurement, there are two pulldown menus. On the left pulldown menu,
select the category Time Domain.
Change the right pulldown menu to Falltime.
Specifying a Waveform
Select the waveform or waveform label in the active Graph Window.
Click on the select button to add the selected waveform to the Source Waveform
field.
Setting Up Measurement Criteria
Specify a Topline value or use the default value. The default value is automatically
calculated. Click on the preview button to display the Topline level on the specified
waveform.
Specify a Baseline value or use the default value. The default value is automatically
calculated. Click on the preview button to display the Baseline level on the
specified waveform.
Click on the pulldown menus next to Lower / Upper to make your selection of
percentage relative to the Topline and Baseline value of the specified waveform. The
left pulldown menu specifies the Lower level and the right pulldown menu specifies the
Upper level, while the following Levels are Relative to the Topline and Baseline
remains checked. Click on the preview button to display the Lower and Upper
levels on the specified waveform.
Clear Levels are Relative to the Topline and Baseline if you want to specify absolute
values as the Lower and Upper levels.
Post-Processing
Measurement - Time Domain
EZwave Users and Reference Manual, 2009.2 147
Setting Up Measurement Result Presentation
To present the multiple measurement results, check either Annotate Waveform(s) with
Result Marker(s) or Plot New Waveform of "Falltime" vs "Time".
To view the other measurement results after the measurement is performed, select the
displayed result marker, right-click the mouse to display the popup menu, and select
Measurement Results... from the menu to display the Measurement Result Window.
Applying Measurement
To apply the measurement to the Entire Waveform, Visible X Region, Between Two
Cursors, click on the pulldown menu next to Apply Measurement to and make your
selection accordingly.
To remove all previous measurements, check the box of Remove All Previous
"Falltime" Results.
Click Apply to perform the measurement; or click Close to pop down the Measurement
Tool Window.
Frequency
This measurement finds and displays the frequency of a periodic waveformrelative to default or
specified topline and baseline levels. The frequency is calculated as the reciprocal of the period.
Opening the Measurement Tool
Use the Tools > Measurement Tool menu item to pop up the Measurement Tool
Window.
Next to Measurement, there are two pulldown menus. On the left pulldown menu,
select the category Time Domain.
Change the right pulldown menu to Frequency.
Specifying a Waveform
Select the waveform or waveform label in the active Graph Window.
Click on the select button to add the selected waveform to the Source Waveform
field.
Setting Up Measurement Criteria
Specify a Topline value or use the default value. The default value is automatically
calculated. Click on the preview button to display the Topline level on the
waveform.
EZwave Users and Reference Manual, 2009.2 148
Post-Processing
Measurement - Time Domain
Specify a Baseline value or use the default value. The default value is automatically
calculated. Click on the preview button to display the Baseline level on the
waveform.
Click to specify the Edge Trigger that the measurement starts from Either Rising or
Falling Edge, Rising Edge, or Falling Edge.
Setting Up Measurement Result Presentation
To present the multiple measurement results, check either Annotate Waveform(s) with
Result Marker(s) or Plot New Waveform of "Frequency" vs "Time".
To view the other measurement results after the measurement is performed, select the
displayed result marker, right-click the mouse to display the popup menu, and select
Measurement Results... from the menu to display the Measurement Result Window.
Applying Measurement
To apply the measurement to the Entire Waveform, Visible X Region, Between Two
Cursors, click on the pulldown menu next to Apply Measurement to and make your
selection accordingly.
To remove all previous measurements, check the box of Remove All Previous
"Frequency" Results.
Click Apply to perform the measurement; or click Close to pop down the Measurement
Tool Window.
Overshoot
This measurement finds and displays the overshoot value of a waveform. The overshoot value is
calculated as the difference between the maximum point and the topline level of the waveform.
Opening the Measurement Tool
Use the Tools > Measurement Tool menu item to pop up the Measurement Tool
Window.
Next to Measurement, there are two pulldown menus. On the left pulldown menu,
select the category Time Domain.
Change the right pulldown menu to Overshoot.
Specifying a Waveform
Select the waveform or waveform label in the active Graph Window.
Post-Processing
Measurement - Time Domain
EZwave Users and Reference Manual, 2009.2 149
Click on the select button to add the selected waveform to the Source Waveform
field.
Setting Up Measurement Criteria
Specify a Topline value or use the default value. The default value is automatically
calculated. Click on the preview button to display the Topline level on the specified
waveform.
Specify a Baseline value or use the default value. The default value is automatically
calculated. Click on the preview button to display the Baseline level on the
specified waveform.
Setting Up Measurement Result Presentation
To present the multiple measurement results, check either Annotate Waveform(s) with
Result Marker(s) or Plot New Waveform of "Overshoot" vs "Time".
To view the other measurement results after the measurement is performed, select the
displayed result marker, right-click the mouse to display the popup menu, and select
Measurement Results... from the menu to display the Measurement Result Window.
Applying Measurement
To apply the measurement to the Entire Waveform, Visible X Region, Between Two
Cursors, click on the pulldown menu next to Apply Measurement to and make your
selection accordingly.
To remove all previous measurements, check the box of Remove All Previous
"Overshoot" Results.
Click Apply to perform the measurement; or click Close to pop down the Measurement
Tool Window.
Period
This measurement finds and displays the period of a periodic waveform relative to default or
specified topline and baseline levels. The period is calculated as the difference in time between
two consecutive edges of the waveform of the same polarity (i.e. rising edge to rising edge or
falling edge to falling edge).
For analog waveforms, the period is always measured from the middle level of one edge to the
middle level of the next edge of the same polarity. The waveform shape is not necessary to be
square.
For digital waveforms, the period is measured from the beginning X value of one edge to the
beginning X value of the next edge of the same polarity.
EZwave Users and Reference Manual, 2009.2 150
Post-Processing
Measurement - Time Domain
Opening the Measurement Tool
Use the Tools > Measurement Tool menu item to pop up the Measurement Tool
Window.
Next to Measurement, there are two pulldown menus. On the left pulldown menu,
select the category Time Domain.
Change the right pulldown menu to Period.
Specifying a Waveform
Select the waveform or waveform label in the active Graph Window.
Click on the select button to add the selected waveform to the Source Waveform
field.
Setting Up Measurement Criteria
Specify a Topline value or use the default value. The default value is automatically
calculated. Click on the preview button to display the Topline level on the
waveform.
Specify a Baseline value or use the default value. The default value is automatically
calculated. Click on the preview button to display the Baseline level on the
waveform.
Click to specify the Edge Trigger that the measurement starts from Either Rising or
Falling Edge, Rising Edge, or Falling Edge.
Setting Up Measurement Result Presentation
To present the multiple measurement results, check either Annotate Waveform(s) with
Result Marker(s) or Plot New Waveform of "Period" vs "Time".
To view the other measurement results after the measurement is performed, select the
displayed result marker, right-click the mouse to display the popup menu, and select
Measurement Results... from the menu to display the Measurement Result Window.
Applying Measurement
To apply the measurement to the Entire Waveform, Visible X Region, Between Two
Cursors, click on the pulldown menu next to Apply Measurement to and make your
selection accordingly.
To remove all previous measurements, check the box of Remove All Previous
"Period" Results.
Post-Processing
Measurement - Time Domain
EZwave Users and Reference Manual, 2009.2 151
Click Apply to perform the measurement; or click Close to pop down the Measurement
Tool Window.
Pulse Width
This measurement finds and displays the pulse width of a waveform relative to default or
specified topline and baseline levels. The pulse width, for a "positive" pulse, is the difference in
time between the middle level of a rising edge and the middle level of the next falling edge on
the waveform. For a "negative" pulse, the pulse is the time difference between the middle level
of a falling edge and the middle level of the next rising edge.
Opening the Measurement Tool
Use the Tools > Measurement Tool menu item to pop up the Measurement Tool
Window.
Next to Measurement, there are two pulldown menus. On the left pulldown menu,
select the category Time Domain.
Change the right pulldown menu to Pulse Width.
Specifying a Waveform
Select the waveform or waveform label in the active Graph Window.
Click on the select button to add the selected waveform to the Source Waveform
field.
Setting Up Measurement Criteria
Specify a Topline value or use the default value. The default value is automatically
calculated. Click on the preview button to display the Topline level on the
waveform.
Specify a Baseline value or use the default value. The default value is automatically
calculated. Click on the preview button to display the Baseline level on the
waveform.
Click to specify the Pulse Type from Either Positive or Negative Pulse, Positive
Pulse, or Negative Pulse.
Setting Up Measurement Result Presentation
To present the multiple measurement results, check either Annotate Waveform(s) with
Result Marker(s) or Plot New Waveform of "Pulse Width" vs "Time".
EZwave Users and Reference Manual, 2009.2 152
Post-Processing
Measurement - Time Domain
To view the other measurement results after the measurement is performed, select the
displayed result marker, right-click the mouse to display the popup menu, and select
Measurement Results... from the menu to display the Measurement Result Window.
Applying Measurement
To apply the measurement to the Entire Waveform, Visible X Region, Between Two
Cursors, click on the pulldown menu next to Apply Measurement to and make your
selection accordingly.
To remove all previous measurements, check the box of Remove All Previous "Pulse
Width" Results.
Click Apply to perform the measurement; or click Close to pop down the Measurement
Tool Window.
Risetime
This measurement finds and displays the risetime between selected upper/lower levels of a
waveform. The risetime is the difference in time when the waveform rises from the lower level
to the upper level.
Opening the Measurement Tool
Use the Tools > Measurement Tool menu item to pop up the Measurement Tool
Window.
Next to Measurement, there are two pulldown menus. On the left pulldown menu,
select the category Time Domain.
Change the right pulldown menu to Risetime.
Specifying a Waveform
Select the waveform or waveform label in the active Graph Window.
Click on the select button to add the selected waveform to the Source Waveform
field.
Setting Up Measurement Criteria
Specify a Topline value or use the default value. The default value is automatically
calculated. Click on the preview button to display the Topline level on the specified
waveform.
Specify a Baseline value or use the default value. The default value is automatically
calculated. Click on the preview button to display the Baseline level on the
specified waveform.
Post-Processing
Measurement - Time Domain
EZwave Users and Reference Manual, 2009.2 153
Click on the pulldown menus next to Lower / Upper to make your selection of
percentage relative to the Topline and Baseline value of the specified waveform. The
left pulldown menu specifies the Lower level and the right pulldown menu specifies the
Upper level, while the following Levels are Relative to the Topline and Baseline
remains checked. Click on the preview button to display the Lower and Upper
levels on the specified waveform.
Clear Levels are Relative to the Topline and Baseline if you want to specify absolute
values as the Lower and Upper levels.
Setting Up Measurement Result Presentation
To present the multiple measurement results, check either Annotate Waveform(s) with
Result Marker(s) or Plot New Waveform of "Risetime" vs "Time".
To view the other measurement results after the measurement is performed, select the
displayed result marker, right-click the mouse to display the popup menu, and select
Measurement Results... from the menu to display the Measurement Result Window.
Applying Measurement
To apply the measurement to the Entire Waveform, Visible X Region, Between Two
Cursors, click on the pulldown menu next to Apply Measurement to and make your
selection accordingly.
To remove all previous measurements, check the box of Remove All Previous
"Risetime" Results.
Click Apply to perform the measurement; or click Close to pop down the Measurement
Tool Window.
Settle Time
The measurement finds and displays the settle time of a waveform with respect to default or
specified steady state level and a specified tolerance. The size of settle band is specified as the
tolerance level on either side of steady state level. The settle time is the last time point that the
waveform crosses the settle band, either the positive level or the negative level of tolerance,
from out of bound to inner bound.
Opening the Measurement Tool
Use the Tools > Measurement Tool menu item to pop up the Measurement Tool
Window.
Next to Measurement, there are two pulldown menus. On the left pulldown menu,
select the category Time Domain.
Change the right pulldown menu to Settle Time.
EZwave Users and Reference Manual, 2009.2 154
Post-Processing
Measurement - Time Domain
Specifying a Waveform
Select the waveform or waveform label in the active Graph Window.
Click on the select button to add the selected waveform to the Source Waveform
field.
Setting Up Measurement Criteria
Specify a Steady State Level value or use the default value. The default value is
automatically calculated. Click on the preview button to display the Steady State
level on the specified waveform.
Click on the pulldown menus next to Tolerance to make your selection of percentage
relative to the amplitude of the specified waveform, while the following Tolerance is
Percentage of Waveform Amplitude remains checked.
Clear Tolerance is Percentage of Waveform Amplitude if you want to specify an
absolute value as the Tolerance.
Applying Measurement
To apply the measurement to the Entire Waveform, Visible X Region, Between Two
Cursors, click on the pulldown menu next to Apply Measurement to and make your
selection accordingly.
To remove all previous measurements, check the box of Remove All Previous "Settle
Time" Results.
Click Apply to perform the measurement; or click Close to pop down the Measurement
Tool Window.
Slew Rate
This measurement finds and displays the slew rate of the waveform. The slew rate is the
difference between the upper and lower levels of the waveform divided by the risetime of the
rising edge (or the falltime of the falling edge).
Opening the Measurement Tool
Use the Tools > Measurement Tool menu item to pop up the Measurement Tool
Window.
Next to Measurement, there are two pulldown menus. On the left pulldown menu,
select the category Time Domain.
Change the right pulldown menu to Slew Rate.
Post-Processing
Measurement - Time Domain
EZwave Users and Reference Manual, 2009.2 155
Specifying a Waveform
Select the waveform or waveform label in the active Graph Window.
Click on the select button to add the selected waveform to the Source Waveform
field.
Setting Up Measurement Criteria
Specify a Topline value or use the default value. The default value is automatically
calculated. Click on the preview button to display the Topline level on the specified
waveform.
Specify a Baseline value or use the default value. The default value is automatically
calculated. Click on the preview button to display the Baseline level on the
specified waveform.
Click on the pulldown menus next to Lower / Upper to make your selection of
percentage relative to the Topline and Baseline value of the specified waveform. The
left pulldown menu specifies the Lower level and the right pulldown menu specifies the
Upper level, while the following Levels are Relative to the Topline and Baseline
remains checked. Click on the preview button to display the Lower and Upper
levels on the specified waveform.
Clear Levels are Relative to the Topline and Baseline if you want to specify the
Lower and Upper levels as absolute values.
Click to specify the Edge Trigger that the measurement starts from Either Rising or
Falling Edge, Rising Edge, or Falling Edge.
Setting Up Measurement Result Presentation
To present the multiple measurement results, check either Annotate Waveform(s) with
Result Marker(s) or Plot New Waveform of "Slew Rate" vs "Time".
To view the other measurement results after the measurement is performed, select the
displayed result marker, right-click the mouse to display the popup menu, and select
Measurement Results... from the menu to display the Measurement Result Window.
Applying Measurement
To apply the measurement to the Entire Waveform, Visible X Region, Between Two
Cursors, click on the pulldown menu next to Apply Measurement to and make your
selection accordingly.
To remove all previous measurements, check the box of Remove All Previous "Slew
Rate" Results.
EZwave Users and Reference Manual, 2009.2 156
Post-Processing
Measurement - Time Domain
Click Apply to perform the measurement; or click Close to pop down the Measurement
Tool Window.
Undershoot
This measurement finds and displays the undershoot value of a waveform. The undershoot
value is calculated as the difference between the minimum point and the baseline level of the
waveform.
Opening the Measurement Tool
Use the Tools > Measurement Tool menu item to pop up the Measurement Tool
Window.
Next to Measurement, there are two pulldown menus. On the left pulldown menu,
select the category Time Domain.
Change the right pulldown menu to Undershoot.
Specifying a Waveform
Select the waveform or waveform label in the active Graph Window.
Click on the select button to add the selected waveform to the Source Waveform
field.
Setting Up Measurement Criteria
Specify a Topline value or use the default value. The default value is automatically
calculated. Click on the preview button to display the Topline level on the specified
waveform.
Specify a Baseline value or use the default value. The default value is automatically
calculated. Click on the preview button to display the Baseline level on the
specified waveform.
Setting Up Measurement Result Presentation
To present the multiple measurement results, check either Annotate Waveform(s) with
Result Marker(s) or Plot New Waveform of "Undershoot" vs "Time".
To view the other measurement results after the measurement is performed, select the
displayed result marker, right-click the mouse to display the popup menu, and select
Measurement Results... from the menu to display the Measurement Result Window.
Post-Processing
Using the Waveform Calculator
EZwave Users and Reference Manual, 2009.2 157
Applying Measurement
To apply the measurement to the Entire Waveform, Visible X Region, Between Two
Cursors, click on the pulldown menu next to Apply Measurement to and make your
selection accordingly.
To remove all previous measurements, check the box of Remove All Previous
"Undershoot" Results.
Click Apply to perform the measurement; or click Close to pop down the Measurement
Tool Window.
Using the Waveform Calculator
The Waveform Calculator enables you to post-process waveforms for advanced analyses or
debugging. The Calculator has a comprehensive graphical interface. To use the calculator:
1. Open the WaveformCalculator application windowwith the calculator button from
the toolbar or use Tools > Waveform Calculator menu item.
2. Add entries to the Expression Entry Area of the calculator using one of the following
methods:
waveform names using the add selected waveforms button
operations using the buttons
functions using the built-in functions
functions using user-defined functions
functions from the Measurement Tool
3. Once you have the desired entries, press Enter (or click the Eval button ). The
results display in the Rslts tab of the Chooser Panel.
4. If the results include a waveform, click the plot button to plot the resulting
waveform in the waveform viewer display. You need to save any resulting waveforms.
5. If you want to store an expression for later use, click the Store button. Assign the
expression to a variable. This variable is nowaccessible fromthe Vars tab of the chooser
panel.
Buttons
1. Open the Waveform Calculator.
2. Select the category. The categories include:
Complex
EZwave Users and Reference Manual, 2009.2 158
Post-Processing
Using the Waveform Calculator
Logical
RF
Statistical
Signal Processing
Trigonometric
There are a variety of methods that can be used to form expressions with the buttons. Two of
these methods are shown here.
Right-Click Method
1. Click on a function button to add the function to the Expression Entry Area.
2. Select the waveform or waveform label in the active Graph Window.
3. Right-click to display Waveform popup menu. From the Waveform popup menu, select
Copy.
4. Place the cursor in between the parentheses of the function in the Expression Entry
Area.
5. Right-click in the entry area to display the popup menu, and select Paste fromthe popup
menu to add the waveform name in between the parentheses of the function.
Drag and Drop Method
1. Click on a function button to add the function to the Expression Entry Area.
2. Select the waveform label in the active Graph Window.
3. Hold the left mouse button down, drag the label to the Expression Entry Area of the
calculator, and release the mouse button.
4. Select the entire waveform name in the entry area if it is not already selected.
Built-In Functions
1. Open the Waveform Calculator.
2. Select the Funcs tab to display the Functions Chooser tab.
3. You can manually locate a function in the Built-In Functions list by clicking on the +
next to each of the types of functions to expand the list.
Alternatively, you can type the first few letters of the function youre looking for into
the text field next to Contains. The function lists automatically expand to display any
functions that match your typing.
Post-Processing
Using the Waveform Calculator
EZwave Users and Reference Manual, 2009.2 159
Figure 7-11. Built-In Waveform Calculator Functions
There are a variety of methods that can be used to enter the built-in functions and waveform
names to the Expression Entry Area of the calculator. Two of those methods are shown here.
Right-Click Method
1. Double-click on a function listed in the Functions Chooser tab to add the function to the
Expression Entry Area.
2. Select the waveform or waveform label in the active Graph Window.
3. Right-click to display Waveform popup menu. From the Waveform popup menu, select
Copy.
4. Place the cursor in between the parentheses of the function in the Expression Entry
Area.
5. Right-click in the entry area to display the popup menu, and select Paste fromthe popup
menu to add the waveform name in between the parentheses of the function.
Drag and Drop Method
1. Select the waveform label in the active Graph Window.
2. Hold the left mouse button down, drag the label to the Expression Entry Area of the
calculator, and release the mouse button.
3. Select the entire waveform name in the entry area if it is not already selected.
4. Double-click on a function listed in the Functions Chooser tab to add the function to the
Expression Entry Area.
EZwave Users and Reference Manual, 2009.2 160
Post-Processing
Waveform Calculator Functions
User-Defined Functions
The waveform calculator allows you to open and display functions you have written in Tcl
scripts. The waveform calculator then lists these functions under User-Defined Functions in the
Funcs tab of the chooser panel. To open and use a user defined function, complete the
following:
1. Select File > Open Custom File Function. A browser window displays.
2. Select the desired Tcl file. The waveform calculator lists the function under User-
Defined Functions in the Funcs tab.
3. Use the user-defined function in the same manner as a built-in function.
4. To search for a specific function, type the first few letters of the function youre looking
for into the text field next to Contains. The function lists automatically expand to
display any functions that match your typing.
Waveform Calculator Functions
Built-In Functions
The Waveform Calculator contains the built-in functions listed below. In the Waveform
Calculator, select the Funcs tab of the chooser panel to view the available built-in functions. To
view detailed information about each function, including the syntax and parameters, turn on the
function help with the View > Function Help menu item.
Post-Processing
Waveform Calculator Functions
EZwave Users and Reference Manual, 2009.2 161
Complex Functions
Table 7-2. Built-In Complex Functions
Item Number of Default
Arguments
Description
complex 2 Constructs a complex waveform from two input
waveforms
cphase 1 Returns the phase of the input complex waveform
in radians.
db 1 Converts the magnitude data of a waveform to
decibels:
20*log10(x)
db10 1 Converts the magnitude data of a waveform to
decibels:
10*log10(x)
gptocomplex 2 Constructs a complex waveform from a waveform
of gain in decibels (the first) and a waveform of
phase in radians (the second).
idb 1 Computes the inverse decibel function for the
input waveform: 10(v/20).
idb10 3 Computes the inverse decibel function for the
input waveform: 10(v/10).
imag 1 Returns the imaginary part of a complex
waveform.
mag 1 Returns the absolute magnitude of a complex
waveform.
mptocomplex 2 Constructs a complex waveform from a waveform
of magnitude (the first) and a waveform of phase
in radians (the second).
phase 1 Returns the phase of a complex waveform.
real 1 Returns the real part of a complex waveform.
ritocomplex 2 Constructs a complex waveform from a waveform
of real part (the first) and a waveformof imaginary
part (the second).
EZwave Users and Reference Manual, 2009.2 162
Post-Processing
Waveform Calculator Functions
Logic Functions
Table 7-3. Built-In Logic Functions
Item Number of Default
Arguments
Description
nand 2 Can be applied to any two digital waveforms
whose data types are either bit or boolean.
nor 2 Can be applied to any two digital waveforms
whose data types are either bit or boolean.
rol 2 Returns a value that is L rotated left by R index
positions. That is, if the value of its leftmost
argument is referred to as 'L' and the value of its
rightmost argument is referred to as 'R', rol()
replaces L with a value that is the result of a
concatenation whose left argument is the rightmost
(L'Length-1) elements of L and whose right
argument is L(L'Left).
ror 2 Returns a value that is L rotated right by R index
positions. That is, if the value of its leftmost
argument is referred to as 'L' and the value of its
rightmost argument is referred to as 'R', ror()
replaces L with a value that is the result of a
concatenation whose right argument is the leftmost
(L'Length-1) elements of L and whose left
argument is L(L'Right).
sla 2 Returns a value that is L arithmetically shifted left
by R index positions. That is, if the value of its
leftmost argument is referred to as 'L' and the value
of its rightmost argument is referred to as 'R', sla()
replaces L with a value that is the result of a
concatenation whose left argument is the rightmost
(L'Length-1) elements of L and whose right
argument is L(L'Right).
sra 2 Returns a value that is L arithmetically shifted
right by R index positions. That is, if the value of
its leftmost argument is referred to as 'L' and the
value of its rightmost argument is referred to as 'R',
sra() replaces L with a value that is the result of a
concatenation whose right argument is the leftmost
(L'Length-1) elements of L and whose left
argument is L(L'Left).
xnor 2 Can be applied to any two digital waveforms
whose data types are either bit or boolean.
Post-Processing
Waveform Calculator Functions
EZwave Users and Reference Manual, 2009.2 163
Mathematical Functions
Table 7-4. Built-In Mathematical Functions
Item Number of
Default
Arguments
Description
abs 1 Returns the absolute value of its input argument.
ceil 1 Computes the smallest integral value not less than each data point
of the waveform.
derive 2 Computes the derivative of the input waveform at the given point.
drv 1 Computes the derivative of the input waveform.
exp 1 Computes the value of e raised to the power of the input value.
floor 1 Computes the largest integral value not greater than each data
point of the waveform.
fmod 2 Returns the floating-point remainder of the division of x by y.
frexp 1 Breaks a floating-point number into a normalized fraction and an
integral power of 2.
hypot 2 Computes the length of the hypotenuse of a right-angled triangle:
sqrt(x*x+y*y).
integ 1 Returns the definite integral of a waveform.
integral 1 Computes the indefinite integral of the input waveform.
ldexp 2 Computes the quantity x * 2
y
.
ln 1 Computes the natural logarithm of the input argument.
log 1 Computes the base-10 logarithm of the input argument.
modf 1 Breaks the argument x into integral and fractional parts, each of
which has the same sign as the argument.
pow10 1 Computes the value of 10 raised to the input argument.
relation 3 Generates a wave fromtwo input waveforms and a point-by-point
relational expression.
sqr 1 Computes the square of the input argument.
sqrt 1 Computes the square root of the input argument.
xofmax 1 Returns all the x value(s) at maximum of a waveform.
xofmin 1 Returns all the x value(s) at minimum of a waveform.
xwave 1 Creates a new waveform with y values identical to the x values.
EZwave Users and Reference Manual, 2009.2 164
Post-Processing
Waveform Calculator Functions
Measurement Functions
Miscellaneous Functions
Table 7-5. Built-In Measurement Functions
Item Number of Default
Arguments
Description
falltime 1 Measures the difference in time when the
waveform falls from the upper level to the lower
level.
risetime 1 Measures the difference in time when the
waveform rises from the lower level to the upper
level.
Table 7-6. Miscellaneous Built-In Functions
Item Number of Default
Arguments
Description
atod 3 Transforms an analog waveform to a digital
waveform.
concat 2 Computes the concatenation of two input
waveforms.
datatowf 2 Creates a waveform based on one or two
arrays of data points.
dtoa 5 Constructs an analog waveform from a digital
bus.
dtoaonbit 1 Constructs an analog waveform from a bit.
gendecade 3 Returns a list that contains numbers sweeping
from a start value to a stop value with a
specified number of points per decade.
genlinear 3 Returns a list that contains numbers sweeping
from a start value to a stop value with a
specified step.
genoctave 3 Returns a list that contains numbers sweeping
from a start value to a stop value with a
specified number of points per octave.
gmargin 1 Computes the gain margin of a complex
waveform or a magnitude waveform and a
phase waveform.
intersect 2 Returns an array with all the intersection
points of the two input waveforms.
Post-Processing
Waveform Calculator Functions
EZwave Users and Reference Manual, 2009.2 165
phmargin 1 Computes the phase margin of a complex
waveform or a magnitude waveform and a
phase waveform.
reglin 2 Performs the linear regression between a
par_value and a char-value.
settlingtime 5 Computes time required for the input wave to
settle within a certain limit around the target
value.
shift 2 Creates a shifted waveform.
wftoascii 2 Dumps the input waveform to a text (ASCII)
file.
wftodata 3 Returns an array with the datapoints of the
input waveform
window 3 Creates a new waveform between specified
lower and upper bounds out of the input
waveform, and interpolates the points at the
interval bounds.
xdown 2 Returns all the x value(s) where the waveform
crosses a given y value with negative slope.
xup 2 Returns all the x value(s) where the waveform
crosses a given y value with positive slope.
xval 2 Returns all x values for a given y value.
yval 2 Returns the y values for a given x value.
Table 7-6. Miscellaneous Built-In Functions (cont.)
Item Number of Default
Arguments
Description
EZwave Users and Reference Manual, 2009.2 166
Post-Processing
Waveform Calculator Functions
RF Functions
Signal Processing Functions
Table 7-7. Built-In RF Functions
Item Number of
Default
Arguments
Description
compress 2 Extracts the Y-axis value of the wave at the point
where the difference between the actual value of the
wave and the linear extrapolation of the wave based
on the computed slope value becomes greater than
the supplied value.
constellationdiagram 3 Computes the constellation diagram of the input
waveform.
evmber 2 Computes the Error Vector Magnitude and Bit Error
Rate of the two input constellation diagrams.
iipx 4 Computes the input inferred intercept point of order x
from the values of the circuit input and output.
oipx 3 Computes the output inferred intercept point of order
x from the value of the circuit output wave.
phasenoise 2 Computes the phase noise of a transient analysis.
xcompress 2 Extracts the X-axis value of the wave at the point
where the actual value of the wave and the
extrapolated linear value of the wave exceeds a
certain value.
Table 7-8. Built-In Signal Processing Functions
Item Number of
Default
Arguments
Description
autocor 1 Computes the Auto Correlation of the input waveform.
chirp 1 Computes the Chirp Transformation of the input
waveform.
convolution 2 Computes the Convolution of the two input
waveforms.
crosscorrelation 2 Computes the Cross Correlation of the two input
waveforms.
deg 1 Converts the waveform into degrees.
eyediagram 5 Constructs an eye diagram of a waveform.
Post-Processing
Waveform Calculator Functions
EZwave Users and Reference Manual, 2009.2 167
fft 1 Computes the Discrete Fourier Transform of the input
waveform using the Fast Fourier Transform method.
harmonicdistortion 1 Computes the Harmonic Distortion of the input
waveform.
harmonics 1 Computes the Harmonic Distortion of the input
waveform.
hdist 1 Computes the total harmonic distortion of the input
waveform.
ifft 1 Calculates the inverse Fast Fourier Transform of the
input waveform.
psd 1 Computes the Power Spectral Density of the input
waveform.
rad 1 Converts the waveform into radians.
sample 2 Creates a sampled waveform with equidistant points
with respect to the x-axis variable.
snr 2 Computes the Signal To Noise of the input waveform.
windowing 1 Calculates the Windowing of the input waveform.
Table 7-8. Built-In Signal Processing Functions (cont.)
Item Number of
Default
Arguments
Description
EZwave Users and Reference Manual, 2009.2 168
Post-Processing
Waveform Calculator Functions
Statistical Functions
Trigonometric Functions
Table 7-9. Built-In Statistical Functions
Item Number of Default
Arguments
Description
avg 1 Returns the average of the waveform.
eyediagram 5 Constructs an Eye Diagram of a waveform.
histogram 4 Creates a histogram of the input waveform
showing the magnitude probability density
distribution of the waveform.
larger 2 Creates a new waveform based on the larger of
two data points at any given time of the input
waveforms.
lesser 2 Creates a newwaveformbased on the lesser of two
data points at any given time of the input
waveforms.
max 1 Returns the maximum value of a waveform.
min 1 Returns the minimum value of a waveform.
rms 1 Returns the root mean square value of a waveform,
depending on its X axis scale. If the X axis scale is
in Hz, it uses the rms_ac function, otherwise it
uses rms_tran, to calculate the root mean square.
rms_ac 1 Returns the root mean square value of a waveform
for frequency analysis using: sqrt(integ(v*v))
rms_noise 1 Returns the root mean square value of a waveform
for frequency noise analysis: sqrt(sum(v*v))
rms_tran 1 Returns the root mean square value of a waveform
for time analysis: sqrt(integ(v*v)/time_interval)
size 1 Returns the number of points (or transitions) in the
waveform.
sum 1 Returns a sum of all of the Y-values of the input
waveform.
Table 7-10. Built-In Trigonometric Functions
Item Number of Default
Arguments
Description
Post-Processing
Waveform Calculator Functions
EZwave Users and Reference Manual, 2009.2 169
Calculator Functions
Use the dropdown list in the Waveform Calculator toolbar to select different types of calculator
functions. Listed in the tables below are the types available and their associated functions. Also
listed are the corresponding buttons for each function.
acos 1 Computes the principal value of the arc cosine of
the argument.
acosh 1 Computes the inverse hyperbolic cosine of the
argument.
acot 1 Computes the arc cotangent of the input
waveform.
acoth 1 Computes the hyperbolic arc cotangent of the
input waveform.
asin 1 Computes the principal value of the arc sine of the
argument.
asinh 1 Computes the inverse hyperbolic sine of the
argument.
atan 1 Computes the principal value of the arc tangent of
the argument.
atan2 2 Computes the principal value of the arc tangent of
y/x, using the signs of both arguments to determine
the quadrant of the return value.
atanh 1 Computes the inverse hyperbolic tangent of the
argument.
cos 1 Computes the cosine of the argument, in degrees.
cosh 1 Computes the hyperbolic cosine of the argument.
cot 1 Computes and returns the cotangent of the
argument, in degrees.
coth 1 Computes and returns the hyperbolic cotangent of
the waveform.
sin 1 Computes the sine of the argument, in degrees.
sinh 1 Computes the hyperbolic sine of the argument.
tan 1 Computes the tangent of the argument, in degrees.
tanh 1 Computes the hyperbolic tangent of the argument.
Table 7-10. Built-In Trigonometric Functions (cont.)
EZwave Users and Reference Manual, 2009.2 170
Post-Processing
Waveform Calculator Functions
Complex Functions
The Complex dropdown list item has functions and operators for complex number
operations/calculations.
Table 7-11. Complex Functions
Icon Item Number of
Arguments
Description
1 / x 1 Returns the reciprocal value of a scalar or a
waveform.
pow(10,x) 1 Returns "10 to the x
th
power".
abs() 1 Absolute value of a scalar is the scalar
without its sign. The absolute values of a
waveform is calculated as the absolute
value of an argument
abs(x) = x
abs(-x) = x
complex(,) 2 Constructs a complex waveform from a
pair of input waveforms. The pairs include:
real part and imaginary part waveforms,
magnitude and phase waveforms, or gain
and phase waveforms.
cphase() 1 Extracts the continuous phase from a
complex waveform. The returned
waveformis the continuous phase fromthe
input complex waveform.
db() 1 Converts the waveform in dB. A
transformation setting for complex
waveforms that shows the magnitude of
each point of the complex waveform
calculated in decibels (20 * log
(|waveform|))
db10() 1 Converts the waveform in dB. A
transformation setting for complex
waveforms that shows the magnitude of
each point of the complex waveform
calculated in decibels (10 * log
(|waveform|)
drv() 1 Returns the derivative of a waveform.
Post-Processing
Waveform Calculator Functions
EZwave Users and Reference Manual, 2009.2 171
Evaluate Evaluates the expression specified in the
textbox.
pow(e,x) 1 Exponential function is defined by
exp(x) = ex, where e is the constant
2.71828
Imaginary unit
imag() 1 Returns the imaginary values of a complex
waveform.
ln() 1 Returns the natural logarithm of a
waveform.
log() 1 Returns the base 10 logarithm of a
waveform.
mag() 1 Returns the magnitude of a waveform. The
transformation applied to a complex
waveform that shows the square root of
(real
2
+ imag
2
) for each point in the
complex waveform.
x % y 2 Returns the modulus of a waveform. The
mod of a waveformis calculated as "x %y"
is the remainder of the division x/y for
integers x and y.
Plot 1 Plots the last result waveform to the active
Graph Window. The button is active only if
the expression result is a waveform.
phase() 1 Returns the phase values of a waveform.
The phase of each point in the complex
waveform in Polar form. All phase angles
are restricted between -180 and +180
degrees (-pi radians and +pi radians).
real() 1 Returns the real values of a waveform.
Recall Brings up a dialog box that allows you to
restore the results of a set of expressions
saved to a Tcl file with the Store command.
Table 7-11. Complex Functions (cont.)
EZwave Users and Reference Manual, 2009.2 172
Post-Processing
Waveform Calculator Functions
Logical Functions
The Logic dropdown list item has functions and operators for logic number
operations/calculations.
Store Brings up a dialog box that allows you to
save a set of selected expressions in a Tcl
file that can be recalled later.
sqr() 1 Returns the squared scalar or waveform.
The square of the waveform is calculated
as x
2
= x * x
x ** y 1 Returns "x to the y
th
power" or x
y
, where x
and y can be either a waveform or a scalar.
sqrt() 1 Returns the square root of a scalar or a
waveform.
antidrv() 2 Returns the anti-derivative of a waveform.
Table 7-12. Logical Functions
Icon Item Number of
Arguments
Description
0x Hexadecimal based notation.
< 2 Lesser than operator can be applied to any
two digital waveforms, or one waveform
and one scalar value. If the input is two
digital waveforms whose data types are
either bit or boolean, then the result of the
operator is defined in the following table:
The symbol 'T' represents TRUE for type
boolean and '1' for type bit; the symbol 'F'
represents FALSE for type boolean and '0'
for type bit.
A B A<B
T T F
T F F
F T T
F F F
Table 7-11. Complex Functions (cont.)
Post-Processing
Waveform Calculator Functions
EZwave Users and Reference Manual, 2009.2 173
> 2 Greater than operator can be applied to any
two digital waveforms, or one waveform
and one scalar value. If the input is two
digital waveforms whose data types are
either bit or boolean, then the result of the
operator is defined in the following table:
The symbol 'T' represents TRUE for type
boolean and '1' for type bit; the symbol 'F'
represents FALSE for type boolean and '0'
for type bit.
A B A>B
T T F
T F T
F T F
F F F
<= 2 Less than or equal to operator can be
applied to any two digital waveforms, or
one waveform and one scalar value. If the
input is two digital waveforms whose data
types are either bit or boolean, then the
result of the operator is defined in the
following table:
The symbol 'T' represents TRUE for type
boolean and '1' for type bit; the symbol 'F'
represents FALSE for type boolean and '0'
for type bit.
A B A<=B
T T T
T F F
F T T
F F T
Table 7-12. Logical Functions (cont.)
EZwave Users and Reference Manual, 2009.2 174
Post-Processing
Waveform Calculator Functions
>= 2 Greater than or equal to operator can be
applied to any two digital waveforms, or
one waveform and one scalar value. If the
input is two digital waveforms whose data
types are either bit or boolean, then the
result of the operator is defined in the
following table:
The symbol 'T' represents TRUE for type
boolean and '1' for type bit; the symbol 'F'
represents FALSE for type boolean and '0'
for type bit.
A B A >= B
T T T
T F T
F T F
F F T
!= 2 Not equal operator can be applied to any
two digital waveforms, or one waveform
and one scalar value. If the input is two
digital waveforms whose data types are
either bit or boolean, then the result of the
operator is defined in the following table:
The symbol 'T' represents TRUE for type
boolean and '1' for type bit; the symbol 'F'
represents FALSE for type boolean and '0'
for type bit.
A B A!=B
T T F
T F T
F T T
F F F
= 2 Equal operator can be applied to any two
digital waveforms, or one waveform and
one scalar value. If the input is two digital
waveforms whose data types are either bit
or boolean, then the result of the operator is
defined in the following table:
The symbol 'T' represents TRUE for type
boolean and '1' for type bit; the symbol 'F'
represents FALSE for type boolean and '0'
for type bit.
A B A==B
T T T
T F F
F T F
F F T
Table 7-12. Logical Functions (cont.)
Post-Processing
Waveform Calculator Functions
EZwave Users and Reference Manual, 2009.2 175
" Single bit notation.
" " VHDL bit string notation.
'&' 2 And operator can be applied to any two
digital waveforms whose data types are
either bit or boolean.
The result of the operator is defined in the
following table:
The symbol 'T' represents TRUE for type
boolean and '1' for type bit; the symbol 'F'
represents FALSE for type boolean and '0'
for type bit.
A B A&B
T T T
T F F
F T F
F F F
Evaluate Evaluates the expression specified in the
textbox.
H Specifies logic state H, weak 1.
L Specifies logic state L, weak 0.
~ 1 Not operator can be applied to any digital
waveform whose data type is either bit or
boolean.
The result of the operator is defined in the
following table:
The symbol 'T' represents TRUE for type
boolean and '1' for type bit; the symbol 'F'
represents FALSE for type boolean and '0'
for type bit.
A ~A
T F
F T
Table 7-12. Logical Functions (cont.)
EZwave Users and Reference Manual, 2009.2 176
Post-Processing
Waveform Calculator Functions
| 2 Or operator can be applied to any two
digital waveforms whose data types are
either bit or boolean.
The result of the operator is defined in the
following table:
The symbol 'T' represents TRUE for type
boolean and '1' for type bit; the symbol 'F'
represents FALSE for type boolean and '0'
for type bit.
A B A|B
T T T
T F T
F T T
F F F
Plot 1 Plots the last result waveform to the active
Graph Window. The button is active only if
the expression result is a waveform.
Recall Brings up a dialog box that allows you to
restore the results of a set of expressions
saved to a Tcl file with the Store command.
<< 2 Shift left logical returns a value that is L
logically shifted left by R index positions.
If the value of its leftmost argument is
referred to as 'L' and the value of its
rightmost argument is referred to as 'R',
sll() replaces L with a value that is the
result of a concatenation whose left
argument is the rightmost (L'Length-1)
elements of L and whose right argument is
T'Left, where T is the element type of L.
1.) If R is '0' or if L is a null array, the
return value is L;
2.) If R is positive, sll() is repeated R times
to form the result.
Table 7-12. Logical Functions (cont.)
Post-Processing
Waveform Calculator Functions
EZwave Users and Reference Manual, 2009.2 177
>> 2 Shift right logical returns a value that is L
logically shifted right by R index positions.
If the value of its leftmost argument is
referred to as 'L' and the value of its
rightmost argument is referred to as 'R',
srl() replaces L with a value that is the
result of a concatenation whose right
argument is the leftmost (L'Length-1)
elements of L and whose left argument is
T'Left, where T is the element type of L.
1.) If R is '0' or if L is a null array, the
return value is L;
2.) If R is positive, srl() is repeated R times
to form the result.
Store Brings up a dialog box that allows you to
save a set of selected expressions in a Tcl
file that can be recalled later.
U Specifies logic state U, uninitialized.
W Specifies logic state W, weak unknown.
X Specifies logic state X, forcing unknown.
^ 2 The xor operator can be applied to any two
digital waveforms whose data types are
either bit or boolean.
The result of the operator is defined in the
following table:
The symbol 'T' represents TRUE for type
boolean and '1' for type bit; the symbol 'F'
represents FALSE for type boolean and '0'
for type bit.
A B A^B
T T F
T F T
F T T
F F F
Z Specifies logic state Z, high impedance.
Table 7-12. Logical Functions (cont.)
EZwave Users and Reference Manual, 2009.2 178
Post-Processing
Waveform Calculator Functions
RF Functions
The RF dropdown list item has functions and operators for RF operations/calculations.
Table 7-13. RF Functions
Icon Item Number of
Arguments
Description
1 / x 1 Returns the reciprocal value of a scalar or a
waveform.
pow(10,x) 1 Returns "10 to the x
th
power".
abs() 1 Absolute value of a scalar is the scalar
without its sign. The absolute values of a
waveform is calculated as the absolute
value of an argument
abs(x) = x
abs(-x) = x
constellation
diagram()
3 Creates a Constellation Diagram of a
waveform. Brings up a dialog box to
prompt for the waveform, the delay, and
the symbol period.
Opens the Constellation Diagram dialog
box.
compress() 2 Extracts the Y-axis value of the wave at the
point where the difference between the
actual value of the wave and the linear
extrapolation of the wave based on the
computed slope value becomes greater
than the supplied value.
drv() 1 Returns the derivative of a waveform.
Evaluate Evaluates the expression specified in the
textbox.
Error Vector
Magnitude/B
it Error Rate
4 Calculates error vector magnitude and bit
error ratio from a set of constellation
diagrams.
Opens the Error Vector Magnitude and Bit
Error Rate dialog box.
pow(e,x) 1 Exponential function is defined by
exp(x) = ex, where e is the constant
2.71828
Post-Processing
Waveform Calculator Functions
EZwave Users and Reference Manual, 2009.2 179
iipx() 4 Returns the input referred intercept point of
order X from the value of the circuit input
and output.
ln() 1 Returns the natural logarithm of a
waveform.
log() 1 Returns the base 10 logarithm of a
waveform.
x % y 2 Returns the modulus of a waveform. The
mod of a waveformis calculated as "x %y"
is the remainder of the division x/y for
integers x and y.
opix() 3 Returns the output referred intercept point
of order X from the value of the circuit
output wave.
Plot 1 Plots the last result waveform to the active
Graph Window. The button is active only if
the expression result is a waveform.
Phase Noise 5 Calculates the phase noise of a transient
analysis.
Opens the Phase Noise dialog box.
Recall Brings up a dialog box that allows you to
restore the results of a set of expressions
saved to a Tcl file with the Store command.
Store Brings up a dialog box that allows you to
save a set of selected expressions in a Tcl
file that can be recalled later.
xcompress() 2 Extracts the X-axis value of the wave at the
point where the difference between the
actual value of the wave and the linear
extrapolation of the wave based on the
computed slope value becomes greater
than the supplied value.
sqr() 1 Returns the squared scalar or waveform.
The square of the waveform is calculated
as x
2
= x * x
x ** y 1 Returns "x to the y
th
power" or x
y
, where x
and y can be either a waveform or a scalar.
Table 7-13. RF Functions (cont.)
EZwave Users and Reference Manual, 2009.2 180
Post-Processing
Waveform Calculator Functions
Statistical Functions
The Statistical dropdown list item has functions and operators for statistical number
operations/calculations.
sqrt() 1 Returns the square root of a scalar or a
waveform.
antidrv() 2 Returns the anti-derivative of a waveform.
Table 7-14. Statistical Functions
Icon Item Number of
Arguments
Description
1 / x 1 Returns the reciprocal value of a scalar or a
waveform.
pow(10,x) 1 Returns "10 to the x
th
power".
abs() 1 Absolute value of a scalar is the scalar
without its sign. The absolute values of a
waveform is calculated as the absolute
value of an argument
abs(x) = x
abs(-x) = x
avg() 1 Returns the average of the waveform.
drv() 1 Returns the derivative of a waveform.
Evaluate Evaluates the expression specified in the
textbox.
pow(e,x) 1 Exponential function is defined by
exp(x) = ex, where e is the constant
2.71828
eyediagram() 5 Constructs an eye diagram of the
waveform.
Table 7-13. RF Functions (cont.)
Post-Processing
Waveform Calculator Functions
EZwave Users and Reference Manual, 2009.2 181
histogram() 5 Creates a histogram of the input waveform
showing the magnitude probability density
distribution of the waveform. Opens the
Histogram dialog box to help you specify
the parameters.
larger(,) 2 Creates a new waveform based on the
larger of two data points at any given time
of the two input waveforms.
lesser(,) 2 Creates a new waveform based on the
lesser of two data points at any given time
of the two input waveforms.
ln() 1 Returns the natural logarithm of a
waveform.
log() 1 Returns the base 10 logarithm of a
waveform.
max() 4 Returns the maximum value of a
waveform.
min() 4 Returns the minimumvalue of a waveform.
x % y 2 Returns the modulus of a waveform. The
mod of a waveformis calculated as "x %y"
is the remainder of the division x/y for
integers x and y.
Plot 1 Plots the last result waveform to the active
Graph Window. The button is active only if
the expression result is a waveform.
Recall Brings up a dialog box that allows you to
restore the results of a set of expressions
saved to a Tcl file with the Store command.
rms() 1 Returns the root mean square value of a
waveform, depending on the X axis scale.
If the X axis scale is in Hz then it uses the
rms_ac function, otherwise it uses the
rms_tran function to calculate the root
mean square.
size() 1 Returns the number of data points in an
analog waveform or the number of
transitions in a digital waveform.
Table 7-14. Statistical Functions (cont.)
EZwave Users and Reference Manual, 2009.2 182
Post-Processing
Waveform Calculator Functions
Signal Processing Functions
The Signal Processing dropdown list item has functions and operators for transform
operations/calculations.
Store Brings up a dialog box that allows you to
save a set of selected expressions in a Tcl
file that can be recalled later.
windavg() 2 Returns an average value for each x value
of the input waveform within a particular
"window" based on the current x value.
sqr() 1 Returns the squared scalar or waveform.
The square of the waveform is calculated
as x
2
= x * x
x ** y 1 Returns "x to the y
th
power" or x
y
, where x
and y can be either a waveform or a scalar.
sqrt() 1 Returns the square root of a scalar or a
waveform.
antidrv() 2 Returns the anti-derivative of a waveform.
Table 7-15. Signal Processing Functions
Icon Item Number of
Arguments
Description
1 / x 1 Returns the reciprocal value of a scalar or a
waveform.
pow(10,x) 1 Returns "10 to the x
th
power".
abs() 1 Absolute value of a scalar is the scalar
without its sign. The absolute values of a
waveform is calculated as the absolute
value of an argument
abs(x) = x
abs(-x) = x
autocorrelati
on()
1 Calculates the Autocorrelation Function of
a signal waveform.
Opens the Auto Correlation dialog box.
Table 7-14. Statistical Functions (cont.)
Post-Processing
Waveform Calculator Functions
EZwave Users and Reference Manual, 2009.2 183
chirp() 1 Calculates the chirp Z-transform.
Opens the Chirp Transform dialog box.
convolution() 2 Calculates the linear convolutions of two
finite data sequences.
Opens the Convolution dialog box.
drv() 1 Returns the derivative of a waveform.
Evaluate Evaluates the expression specified in the
textbox.
pow(e,x) 1 Exponential function is defined by
exp(x) = e
x
, where e is the constant
2.71828
1 Determines the frequency content of
analog signals encountered in circuit
simulation, which deals with sequences of
time values.
Opens the Fast Fourier Transform dialog
box.
harmonicdist
ortion()
1 Calculates the harmonic distortion of a
signal.
Opens the Harmonic Distortion dialog box.
1 Calculates the inverse fast Fourier
transform of the input waveform.
Opens the Inverse Fast Fourier Transform
dialog box.
ln() 1 Returns the natural logarithm of a
waveform.
log() 1 Returns the base 10 logarithm of a
waveform.
x % y 2 Returns the modulus of a waveform. The
mod of a waveformis calculated as "x %y"
is the remainder of the division x/y for
integers x and y.
Plot 1 Plots the last result waveform to the active
Graph Window. The button is active only if
the expression result is a waveform.
Table 7-15. Signal Processing Functions (cont.)
EZwave Users and Reference Manual, 2009.2 184
Post-Processing
Waveform Calculator Functions
Performing a Fast Fourier Transform
It is sometimes preferable in signal analysis to compute time and frequency domain expressions
for data which is sampled at discrete intervals. This is generally referred to as a Discrete Fourier
Transform (DFT). The Fast Fourier Transform (FFT) is the fastest and most efficient available
algorithm for computing the DFT.
If you want to replicate Eldo FFT results in the EZwave viewer, verify the following conditions
are true:
psd() 1 Calculates the Power Spectral Density of a
signal waveform.
Opens the Power Spectral Density dialog
box.
Recall Brings up a dialog box that allows you to
restore the results of a set of expressions
saved to a Tcl file with the Store command.
snr() 2 Calculates a ratio of the sum of squares of
amplitudes of sinusoidal frequencies to the
sum of squares of noise amplitudes.
Store Brings up a dialog box that allows you to
save a set of selected expressions in a Tcl
file that can be recalled later.
windowing() 1 Tapers data near ends of records to avoid
abrupt truncation effects.
Opens the Windowing Transform dialog
box.
sqr() 1 Returns the squared scalar or waveform.
The square of the waveform is calculated
as x
2
= x * x
x ** y 1 Returns "x to the y
th
power" or x
y
, where x
and y can be either a waveform or a scalar.
sqrt() 1 Returns the square root of a scalar or a
waveform.
crosscorrelat
ion()
2 Calculates the cross correlation between
two data sets.
Opens the Cross Correlation dialog box.
antidrv() 2 Returns the anti-derivative of a waveform.
Table 7-15. Signal Processing Functions (cont.)
Post-Processing
Waveform Calculator Functions
EZwave Users and Reference Manual, 2009.2 185
The input waveforms must be the same. In the Eldo simulator, you can display the
waveform it uses for the FFT calculation by setting display_input = 1 in the .optfour
command.
The same set of parameters and options must be used for both FFT calculations.
Caution
If the number of points is computed based on start time, stop time, and sampling
frequency, Eldo and EZwave results will not match as they are calculated differently in
each tool. This discrepancy will be removed in a future release.
Invoke the Fast Fourier Transform Dialog Box
There are two ways to access the Fast Fourier Transform dialog box:
Select Tools > FFT from the menu bar.
You can also access the Fast Fourier Transform dialog box through the Waveform
Calculator:
o Click on the Waveform Calculator icon, or select it from the Tools menu.
o Select Panel > Signal Processing, or from the icon bar, use the pulldown menu to
select Signal Processing.
o Click the FFT button on the calculator keypad.
The FFT Input Dialog Box opens.
Input Parameters
Select the source wave from the pull down list or use the Add Selected Waveforms
button to add a waveform from an open Graph Window. Use the Clear Waveform List
button to remove all waveforms in the list.
After you select a source waveform, enter the FFT inputs. A default set of parameters is
inserted in the Parameter Setup portion of the window. These parameters give
information about the sampling of the input data and the range of the input signal which
is used for the computation.
The range of the input signal can be modified using the following Input Parameters:
o Start Time - Start time for the signal.
o Stop Time - Stop time for the signal.
o Sampling Frequency - Sampling frequency.
o Number of Points - Number of sampling points.
EZwave Users and Reference Manual, 2009.2 186
Post-Processing
Waveform Calculator Functions
Note
The number of points for the FFT results is always:
FFT computations are performed only on those signals having the Number of Points input
parameter set to a factor of 2
n
(n = 2, 3, ...). If this is not so, then a slower DFT
computation is executed.
Advanced Options
Click on the Advanced button (if necessary).
Windowing
By selecting the Windowing type, specification of the method of computing the sampled data
may be performed.
Select the windowing option from the pull down list. The default option is Rectangular. Click
here for windowing algorithms. Certain windowing options require additional input, enter the
appropriate value in the box.
Sampling
Use Data Points should be selected as default if the input data has equidistant Time Steps;
otherwise Uniform Sampling should be selected.
Data Padding
Pad with Zeros - Select this option to allow padding with zeros.
Output Options
Normalize Results - If the Normalized button is clicked, all real and imaginary parts of the
result are divided by (Number of Points)/2 except for the first point, which is divided by
Number of Points.
Applying Input
Click on the Apply button.
If problems in the computation occur, a relevant error or warning message is displayed.
Evaluating and Plotting Results
Return to the Waveform Calculator to evaluate and plot the results of the transformation.
Number of Points
2
------------------------------------------ 1 +
Post-Processing
Waveform Calculator Functions
EZwave Users and Reference Manual, 2009.2 187
Click on the Eval button. The transformation will now be calculated based on the
specified parameters.
Click on the Plot button to plot the results. A new Graph window is opened and the
results are graphed.
Performing an Inverse Fast Fourier Transform (IFFT)
For any two signals in the frequency domain, it is possible to perform an Inverse Fast Fourier
Transform (IFFT). The IFFT Input Dialog Box has similar input parameters options as for the
FFT Input Dialog Box.
Invoke the Waveform Calculator:
To invoke the Waveform Calculator, use the following steps:
1. Click on the Waveform Calculator icon, or select it from the Tools menu.
2. Select Signal Processing from the pulldown menu.
3. Click the IFFT button on the calculator keypad.
4. The IFFT Input Dialog Box opens.
Input Parameters
Select the source wave from the pull down list or use the Add Selected Waveforms
button to add a waveform from an open Graph Window. Use the Clear Waveform
List button to remove all waveforms in the list.
After you select a source waveform, enter the IFFT inputs. A default set of parameters is
inserted in the Parameter Setup portion of the window. These parameters give
information about the sampling of the input data and the range of the input signal which
is used for the computation.
The range of the input signal can be modified using the following Input Parameters:
o Start Time - Start time for the signal.
o Stop Time - Stop time for the signal.
o Sampling Frequency - Sampling frequency.
o Number of Points - Number of sampling points.
Note
An IFFT analysis always creates results with an even number of points. This means that
when calculating results in conjunction with an FFT analysis, an even number of points
with the FFT must also be used if the following condition is to be fulfilled: IFFT
(FFT(signal)) = signal
EZwave Users and Reference Manual, 2009.2 188
Post-Processing
Waveform Calculator Functions
Advanced Options
Click on the Advanced button (if necessary).
Sampling
Use Data Points/ Uniform Sampling - Use Data Points should be selected as default if the input
data has equidistant Time Steps; otherwise Uniform Sampling should be selected.
Data Padding
Pad with Zeros - Select this option to allow padding with zeros.
Applying Input
Click on the Apply button.
If problems in the computation occur, a relevant error or warning message is displayed.
Evaluating and Plotting Results
Return to the Waveform Calculator to evaluate and plot the results of the transformation.
Click on the Eval button. The transformation will now be calculated based on the
specified parameters.
Click on the Plot button to plot the results. A new Graph window and the results are
graphed.
Trigonometric Functions
The Trigonometric dropdown list item has functions and operators for trigonometric
operations/calculations.
Table 7-16. Trigonometric Functions
Icon Item Number of
Arguments
Description
1 / x 1 Returns the reciprocal value of a scalar or a
waveform.
pow(10,x) 1 Returns "10 to the x
th
power".
Post-Processing
Waveform Calculator Functions
EZwave Users and Reference Manual, 2009.2 189
abs() 1 Absolute value of a scalar is the scalar
without its sign. The absolute values of a
waveform is calculated as the absolute
value of an argument
abs(x) = x
abs(-x) = x
acos() 1 Trigonometric wave function returns the
arc cosine of the waveform.
acosh() 1 Trigonometric wave function returns the
hyperbolic arc cosine of the waveform.
asin() 1 Trigonometric wave function returns the
arc sine of the waveform.
asinh() 1 Trigonometric wave function returns the
hyperbolic arc sine of the waveform.
atan() 1 Trigonometric wave function returns the
arc tangent of the waveform.
atanh() 1 Trigonometric wave function returns the
hyberbolic arc tangent of the waveform.
Clear Clears the content in the expression
textbox.
cos() 1 Trigonometric wave function finds the
cosine of the waveform.
cosh() 1 Trigonometric wave function finds the
hyberbolic cosine of the waveform.
drv() 1 Returns the derivative of a waveform.
Evaluate Evaluates the expression specified in the
textbox.
pow(e,x) 1 Exponential function is defined by
exp(x) = ex, where e is the constant
2.71828
ln() 1 Returns the natural logarithm of a
waveform.
Table 7-16. Trigonometric Functions (cont.)
EZwave Users and Reference Manual, 2009.2 190
Post-Processing
Waveform Calculator Functions
log() 1 Returns the base 10 logarithm of a
waveform.
x % y 2 Returns the modulus of a waveform. The
mod of a waveformis calculated as "x %y"
is the remainder of the division x/y for
integers x and y.
Plot 1 Plots the last result waveform to the active
Graph Window. The button is active only if
the expression result is a waveform.
Recall Brings up a dialog box that allows you to
restore the results of a set of expressions
saved to a Tcl file with the Store command.
sin() 1 Trigonometric function returns the sine of
the waveform.
sinh() 1 Trigonometric wave function returns the
hyperbolic sine of the waveform.
Store Brings up a dialog box that allows you to
save a set of selected expressions in a Tcl
file that can be recalled later.
tan() 1 Trigonometric wave function returns the
tangent of the waveform.
tanh() 1 Trigonometric wave function returns the
hyperbolic arc tangent of the waveform.
sqr() 1 Returns the squared scalar or waveform.
The square of the waveform is calculated
as x
2
= x * x
x ** y 1 Returns "x to the y
th
power" or x
y
, where x
and y can be either a waveform or a scalar.
sqrt() 1 Returns the square root of a scalar or a
waveform.
antidrv() 2 Returns the anti-derivative of a waveform.
Table 7-16. Trigonometric Functions (cont.)
Post-Processing
Using the Measurement Tool Functions in the Waveform Calculator
EZwave Users and Reference Manual, 2009.2 191
Using the Measurement Tool Functions in the
Waveform Calculator
You can use the same functions available fromthe Measurement Tool to evaluate waveforms in
the Waveform Calculator or in a Tcl script file (using user-defined functions).
Using the risetime measurement as an example:
risetime(wf, topline = "Automatic", baseline = "Automatic", low = "10%", mid = "50%", up =
"90%", x_start = "Begin", x_end = "End", option = "WF", param = "parameter_name" )
Note
All of the parameters are an associated text entry, pull-down list, check box, or radio
button in the measurement dialog box.
Enter a Measurement Tool function in the expression entry area or script file with one of the
following methods:
1. risetime(wf("<tutorial/Time-Domain_Results>v_middle"))
If only the waveform name is specified in the function, the application uses all the
default parameters.
2. risetime(wf("<tutorial/Time-Domain_Results>v_middle"), baseline=0, topline=5.0)
All the default parameters will be used except those that are specified. The parameters
can be in any order, and the reference levels can be either percentages or values.
3. risetime(wf("<tutorial/Time-Domain_Results>v_middle"), "Automatic", 0, 10%, 50%,
90%, "Begin", "End" , "WF", "parameter_name")
A complete list of parameter values are specified. Without the parameter identifier
specified, all the parameters have to be in the right sequence.
You can use any of the following measurement tool functions:
average - average(wf, x_start = "Begin", x_end = "End", option = "Value")
bandpass - bandpass(wf, topline = "Automatic", offset = -3, x_start = "Begin", x_end =
"End", option = "Value" )
crossing - crossing(wf, ylevel = "Automatic" , slopetrigger = "Either", x_start =
"Begin", x_end = "End", option = "WF", param = "parameter_name" )
delay - delay(wf1, wf2, topline1 = "Automatic", baseline1 = "Automatic", dlev1 =
"50%", topline2 = "Automatic", baseline2 = "Automatic", dlev2 = "50%",
edgetrigger="Either", inverting = 0, closestedge = 0, x_start = "Begin", x_end = "End",
option = "WF", param = "parameter_name" )
EZwave Users and Reference Manual, 2009.2 192
Post-Processing
Using the Measurement Tool Functions in the Waveform Calculator
duty cycle - dutycycle(wf, topline = "Automatic", baseline = "Automatic",
edgetrigger="Either", x_start = "Begin", x_end = "End", option = "WF", param =
"parameter_name" )
fall time - falltime(wf, topline = "Automatic", baseline = "Automatic", low = "10%",
mid = "50%", up = "90%", x_start = "Begin", x_end = "End", option = "WF", param =
"parameter_name")
frequency - frequency(wf, topline = "Automatic", baseline = "Automatic",
edgetrigger="Either", x_start = "Begin", x_end = "End", option = "WF", param =
"parameter_name" )
gain margin - gainmargin(wf, option = "Value")
intersect - intersect(wf1, wf2, slopetrigger = "Either", inverting = 0,x_start = "Begin",
x_end = "End", option = "WF", param = "parameter_name" )
local max - localmax(wf, x_start = "Begin", x_end = "End", option = "WF", param =
"parameter_name" )
local min - localmin(wf, x_start = "Begin", x_end = "End", option = "WF", param =
"parameter_name" )
maximum - max(wf, x_value="no", x_start = "Begin", x_end = "End", option =
"Value")
mean - mean(wf, x_start = "Begin", x_end = "End", option = "Value")
mean +3 standard deviation - meanplus3std(wf, x_start = "Begin", x_end = "End",
option = "Value")
mean -3 standard deviation - meanminus3std(wf, x_start = "Begin", x_end = "End",
option = "Value")
minimum - min(wf, x_value="no", x_start = "Begin", x_end = "End", option =
"Value")
overshoot - overshoot(wf, topline = "Automatic", baseline = "Automatic", x_start =
"Begin", x_end = "End", option = "WF", param = "parameter_name" )
peak to peak - peaktopeak(wf, x_start = "Begin", x_end ="End", x_value = "no", option
= "Value" )
period - period(wf, topline = "Automatic", baseline = "Automatic",
edgetrigger="Either", x_start = "Begin", x_end = "End", option = "WF", param =
"parameter_name" )
phase margin - phasemargin(wf, option = "Value")
pulse width - pulsewidth(wf, topline = "Automatic", baseline = "Automatic",
pulsetype="Either", x_start = "Begin", x_end = "End", option = "WF", param =
"parameter_name" )
Post-Processing
Using the Measurement Tool Functions in the Waveform Calculator
EZwave Users and Reference Manual, 2009.2 193
rise time - risetime(wf, topline = "Automatic", baseline = "Automatic", low = "10%",
mid = "50%", up = "90%", x_start = "Begin", x_end = "End", option = "WF", param =
"parameter_name" )
rms - rms(wf, x_start = "Begin", x_end = "End", option = "Value")
rms ac - rms_ac(wf, x_start = "Begin", x_end = "End", option = "Value")
rms noise- rms_noise(wf, x_start = "Begin", x_end = "End", option = "Value")
rms tran rms_tran(wf, x_start = "Begin", x_end = "End", option = "Value")
settle time - settletime(wf, steadystate = "Automatic" , tolerance = "5%", x_start =
"Begin", x_end = "End", option = "Value" )
slew rate - slewrate(wf, topline = "Automatic", baseline = "Automatic", low = "10%",
mid = "50%", up = "90%", edgetrigger="Either", x_start = "Begin", x_end = "End",
option = "WF", param = "parameter_name" )
slope - slope(wf, x, slopetype = "None", option = "Value")
slope intersect - slopeintersect(wf1, wf2, x1, x2, option = "Value")
standard deviation - stddev(wf, x_start = "Begin", x_end = "End", option = "Value")
undershoot - undershoot(wf, topline = "Automatic", baseline = "Automatic", x_start =
"Begin", x_end = "End", option = "WF", param = "parameter_name" )
Y value - yval(wf, x1, option = "Value")
EZwave Users and Reference Manual, 2009.2 194
Post-Processing
Using the Measurement Tool Functions in the Waveform Calculator
EZwave Users and Reference Manual, 2009.2 195
Chapter 8
Save and Output Data
Save/output results to a disk file in either JWDB format or user-defined ASCII format. You can
also add text annotations to your waveforms. Tasks include:
Saving and Restoring Graph Windows
Printing a Graph Window
Exporting Graph Windows
Adding Text Annotations
Saving a Waveform Database
Saving Multiple Databases
Saving a Waveform Database as an ASCII File
Saving and Restoring Graph Windows
Saving Graph Windows
To save your session, you must save each of your graph windows. To do this, perform the
following steps:
1. Select the window you wish to save.
2. Select File > Save from the File menu.
3. From the Save Windows, select whether you want to save the active window or all
windows and whether you want to save the related database.
Note
If you have configured your save options to not display this dialog box, the graph window
will be saved according to the options you have configured.
Add Waveforms Set Up and Load
Data
Analysis Post-Processing Save and Output
Data
EZwave Users and Reference Manual, 2009.2 196
Save and Output Data
Printing Graph Window(s)
4. In the text area, type the name you want for the saved window, using .swd as the
extension, or click on the open folder icon to browse for the file you want to save. If the
save file already exists, select Overwrite existing file.
5. Click Save to save the database and close the dialog box.
The systemwill save the file and also write a newMentor Graphics database (.wdb) file with the
same name as the .swd file.
Do this for each window that you wish to save, issuing a new name for each window, or save all
open windows at once.
Restoring Graph Windows
To restore your session, you must open each of your graph windows. To do this, perform the
following steps:
1. Select File > Open from the File menu.
2. From the Open dialog box, select the directory you need.
3. Select the Saved Window Databases (.swd) filter from the dropdown list.
4. Browse or enter the name of the saved window database (.swd) file.
5. Click OK to open the database and close the dialog box.
The system will load the window and the associated .wdb file for the window.
Do this for each window that you wish to open.
Printing Graph Window(s)
Before printing multiple graph windows, you can arrange them for better viewing purposes. To
automatically arrange the graph windows in your workspace, choose one of the following items
from the Window menu:
Cascade Arranges the graph windows in an overlapping fashion proceeding down
and to the right in the workspace
Tile Horizontally Arranges the graph windows to fill the screen horizontally, fitting
several to the screen one above another. If this operation would make some windows too
small, they may be stacked on top of each other.
Tile Vertically Arranges the graph windows to fill the screen vertically, fitting
several to the screen side by side. If this operation would make some windows too small,
they may be stacked on top of each other.
Save and Output Data
Exporting Graph Windows
EZwave Users and Reference Manual, 2009.2 197
Tile in a Grid Arranges the graph windows to fill the screen in a grid pattern, fitting
several to the screen side by side and one above another. If this operation would make
some windows too small, they may be stacked on top of each other.
These automatic arrangement options are also available from the toolbar.
You can print the contents of a single graph window, all visible graph windows, or all open
graph windows by performing the following:
1. If you want to print a single graph window, make that graph window the active one.
2. Choose File > Print. This brings up the Print dialog box.
3. In the Print dialog box dialog box, select the options for your print job.
4. Click OK to print.
If you are having difficulty using /usr/sbin/lpc to print from a Linux system, refer to the Linux
printing notes.
Exporting Graph Windows
You can export the contents of the active Graph window or all visible Graph windows to a
JPEG or PNG image file by performing the following:
1. Select the window you wish to export.
2. Select File > Export from the menu bar.
EZwave Users and Reference Manual, 2009.2 198
Save and Output Data
Exporting Graph Windows
Figure 8-1. Export Image Dialog Box
3. In the Export Image dialog box, enter the path and filename where you want to save the
file. You can use the file browser to navigate to a particular directory and filename. Use
an appropriate extension for the filename (.jpeg, .jpg, or .png). If the chosen file already
exists, select the Overwrite existing file option to save over the existing file.
4. Select the output format you want from the Save As Type dropdwon list. Supported
output formats are: JPEG and PNG.
5. In the options field, select the resolution for the output file. You can select Screen,
Printer Low, or Printer High. Additionally, for JPEG images, you can select the image
quality by using the slider bar or by entering the percentage into the text field.
6. Select whether you want to export only the Active Window or All Visible Windows. If
you are choosing to export all visible windows, the windows must be tiled and visible.
7. Click the Page Setup button to set other options for the image output. You can select to
include the database title, information (such as time, date, user name, and machine
name) about the machine used to view or simulate the data, and the color scheme.
8. Click OK to export to an image file and close the dialog box.
Save and Output Data
Adding Text Annotations
EZwave Users and Reference Manual, 2009.2 199
Adding Text Annotations
Adding Text Annotations to a Waveform
A text annotation that is attached to a waveform is bound to that waveform's row. Once placed,
the text annotation's anchor can only be moved within its current row but its text box may be
moved outside the row. To add a text annotation to a waveform:
1. Select the location on the desired waveform, and right-click to display a popup menu.
2. Select Annotation > Add Text Annotation from the popup menu. The Waveform
Annotation dialog box displays.
3. Enter the desired text and select Annotation attached to the Waveform. Click OK to
display the text annotation in the window.
Note
To modify text annotation options, open the EZwave Display Preferences dialog box
(Edit > Options) and select Text Annotations to open the Annotations dialog box.
Adding Text Annotations to the Graph Window
A text annotation that is not attached to a waveform can be moved freely within the graph
window and is not associated with any row. To add a text annotation to the graph window:
1. Right-click anywhere in the graph window to display a popup menu.
2. Select Annotation > Add Text Annotation from the popup menu. The Waveform
Annotation dialog box displays.
3. Enter the desired text and select Annotation attached to the Window. Click OK to
display the text annotation in the window.
Note
To modify text annotation options, open the EZwave Display Preferences dialog box
(Edit > Options) and select Text Annotations to open the Annotations dialog box.
Customizing Fonts and Colors
To modify the font and color of many of the graphical elements used to display waveforms:
1. Choose Edit > Options to open the EZwave Display Preferences dialog box.
2. Expand the Fonts and Colors folder in the list on the left side of the dialog box to
display a list of elements that you can customize.
EZwave Users and Reference Manual, 2009.2 200
Save and Output Data
Saving a Waveform Database
3. Click on the appropriate element in the list to display the font and color options for that
element.
4. Make your font and color selections in the dialog box and click OK to accept the
changes.
Note
Saving EZwave files only preserves font changes within the same operating system. This
is because fonts (type, size, and style) vary among different operating systems. Even with
the same operating system, font changes may not be preserved if the fonts are not
installed on the system. If a saved font is not available on a system, the EZwave viewer
will use the default font. Color changes are not affected by different operating systems
and are preserved when saving.
Saving a Waveform Database
After you have made changes to a waveform, you need to save the waveform database:
1. Right-click the database folder. A popup menu appears.
2. Select Save As. The Save As dialog box opens.
3. Enter the name for the file. If the file already exists, you must confirm that you want to
overwrite the database.
4. Specify the file type as MGC Database Files (JWDB), Spice pwl (*.sti), TXT (text file)
(*.txt), or CSV (Comma delimited) (*.csv).
Note
When saving a database as a SPICE PWL file (.sti), only waveforms that contain voltage
or current sources are saved. If a database contains waveforms with neither voltage or
current sources, those waveforms are ignored.
Click OK to apply the settings and close the dialog box.Save button or Save menu option.
Saving Post-Processed Waveforms
If you use the Waveform Calculator to create a new waveform, you will need to save the
waveforms. Acalc folder is created in the WaveformList panel. This folder contains the created
waveforms. A message next to the folder lets you know if the folder contains unsaved changes.
To save the waveforms:
1. Right-click on the waveform you want to save and select Rename.
2. Enter the desired name of the waveform.
3. Right-click on the calc folder and select Save As.
Save and Output Data
Saving Multiple Databases
EZwave Users and Reference Manual, 2009.2 201
4. Navigate to the desired location and enter the file name.
5. Specify the file type as either MGC Database file (jwdb), text file, or Comma delimited
(CSV).
Save File Types
In addition to native MGC database files (JWDB), the EZwave viewer can output files in
several additional formats. These include:
Text (.TXT)
A text-format database save file includes X and Y values for each point in the waveform
separated by tabs, spaces, commas, or a user-chosen delimiter selected from the Save
Tab of the Options Dialog Box. You can also select the precision of the saved values
from this dialog box.
Comma-Separated Values (.CSV)
A comma-delimited database save file contains value pairs separated by commas. It can
be useful for viewing your results in a program such as a spreadsheet.
SPICE PWL (.STI)
A SPICE PWL database save file contains value pairs separated by spaces and enclosed
in parentheses. A leading plus sign (+) in a line indicates a continuation of the previous
line. This file type can be used in Eldo simulation as a stimulus. For more information
about the PWL format, refer to the Eldo User's Manual.
Note
When saving a database as a SPICE PWL file (.sti), only waveforms that contain voltage
or current sources are saved. If a database contains waveforms with neither voltage or
current sources, those waveforms are ignored.
Saving Multiple Databases
If you have unsaved data, you have the capability to save the data without having to perform
separate save operations. To save multiple databases, perform the following steps:
1. Right-click the Waveform List panel to activate the popup menu.
2. Select the Save All menu item. The item opens the Save Databases dialog box.
EZwave Users and Reference Manual, 2009.2 202
Save and Output Data
Recovering Save Files
The Save Databases dialog box lists all currently unsaved databases or modified
databases in Waveform List panel.
3. Click the checkboxes to select the databases you wish to save. The Wdb Name column
shows the current name of the database. The status column displays the status of
Unsaved, Saving or Saved.
4. Use the Save As text box to enter the new name for the database. If the file already
exists, you must confirm the desire to overwrite the database. If you do not wish to be
warned about existing databases of the same name, check the Overwrite if File Exists
checkbox in the lower left corner of the dialog box.
5. Click OK to apply the settings and close the dialog box, or click Cancel to close the
dialog box without saving any databases.
Recovering Save Files
The application provides two different methods of recovering database files. One method
allows you to recover incomplete database files produced when a simulator that the EZwave
viewer was connected to does not exit cleanly. The second method recovers databases that are
saved incompletely, such as might be the case during a disk write error or a network shutdown.
Recovering from Incomplete Simulations
Use the recoverjwdb utility to recover simulation data and save it to a jwdb file in the event of
an unclean simulator exit. During simulation, the simulator may create an information file
called jwdbPortHostname containing information about the simulation process. If the EZwave
viewer is still running, this utility can retrieve simulation data from memory or from this spill
file.
To invoke recoverjwdb, use the following syntax:
Save and Output Data
Saving a JWDB as an ASCII File
EZwave Users and Reference Manual, 2009.2 203
recoverjwdb info_file save_path
where info_file is the simulator information file.
Recovering Incomplete Savefiles
While the EZwave viewer is running, a temporary file named file.wdb_recoveryKeyFile,
containing the necessary information to recover the databases, is created. This temporary file is
removed when the EZwave application exits normally. If an abnormal exit condition occurs,
this recovery key file will remain and can be used to recover any unsaved data from the
simulation. The following command allows you to use this temporary file to recover incomplete
savefiles in this event:
ezwave -recovery file.wdb file.wdb_recoveryKeyFile output_file
where file is the original file you were working with.
If disk space runs out during a simulation, the EZwave viewer will display a notice that this has
occurred and will prompt you for an alternate location to save the remainder of the database file.
The first part of the savefile is saved in the original disk location as file_recovery_part1, and
the remainder will be saved in whatever location you specify as file_recovery_part2. The file
will be saved in two parts even if you remove files in the original disk partition and elect to save
the remainder of the database there.
To recover the incomplete savefile in this case, use the following command format:
ezwave -recovery file_recovery_part1 file_recovery_part2 output_file
where file is the database savefile you were using.
If the recovery key file does not produce satisfactory results, the recovery mechanism can be
disabled by using the -norecovery command line option.
Saving a JWDB as an ASCII File
You can output a JWDB to an ASCII file.
1. In the Waveform List Panel, right-click on the database name.
2. Select Save As. The Save As dialog box displays
3. Enter the name for the database. If the file already exists, you must confirmthe desire to
overwrite the database.
4. Select an ASCII file type from the Files of Type dropdown list. EZwave creates an
ASCII file that contains all the signals.
EZwave Users and Reference Manual, 2009.2 204
Save and Output Data
Converting a JWDB File to ASCII
Converting a JWDB File to ASCII
You can convert a JWDB savefile to ASCII in batch mode (that is, without having to open the
file using the EZwave tool and save it as ASCII) by using the jwdbtoasc utility. All signals, both
analog and digital, can be converted using this tool. You can also specify a Tcl script to run for
post-processing before the converted waveforms are saved to the ASCII file. The syntax for this
tool is as follows:
jwdbtoasc { -i filename.wdb } [ -o filename.txt [ -s filename.tcl]]
The -i option specifies the input JWDB filename; the -o option specifies the output ASCII
filename; and the -s option specifies the post-processing Tcl script name. If the output file is not
specified, the filename is the same as the input filename with the .wdb extension changed to .txt.
EZwave Users and Reference Manual, 2009.2 205
Chapter 9
Application Interface Overview
Application Window
This application has an intuitive Graphical User Interface (GUI) as shown here:
Figure 9-1. The EZwave Graphical User Interface
The components of the Application Window include:
Menu Bar
Toolbar
Waveform List Panel
EZwave Users and Reference Manual, 2009.2 206
Application Interface Overview
Window Elements
Workspace and Workspace Tabs
Graph Windows
Workspace Taskbar
Application Window Status Bar
Window Elements
Menu Bar
Click any of the menu names (File, Edit, View, Format, Tools, Cursor, Window, or Help) in the
menu bar to display the dropdown item list for that menu.
As you move the mouse pointer over each item, a short description of the action to be performed
appears in the status bar of the application window.
To execute a menu item, place the mouse pointer over the item and click the mouse. If you do
not want to execute an item, move the mouse pointer off of the menu bar area and click the
mouse. You will see the dropdown menus collapse and no item execution performed.
Keyboard Shortcuts
Many menu items have a keyboard combination available for use. On each menu, available
keyboard shortcuts appear to the right of the item. For example, CTRL + O is the keyboard
shortcut for the File > Open menu item. Other keyboard shortcuts may be a function key (such
as F2 for Edit > Unselect All) or a single key (such as O for View > Zoom Out). Keyboard
shortcuts, like toolbar buttons, provide a quick way to access items without using the menu bar.
A small list of frequently used keyboard shortcuts is available for reference from the Help
Menu.
Keyboard Access Keys
You can also display menu items by using the keyboard access keys. Each menu and item
carries a single underlined letter to indicate the keyboard access associated with the item. To use
a keyboard access key, use the ALT key on your keyboard and then type the letter that is
underlined in the menu bar for the menu.
For example, ALT F displays the File menu dropdown and ALT m displays the Format
dropdown menu. Once the menu dropdown is displayed, type the letter of the access key that is
underlined for your desired item.
Application Interface Overview
Window Elements
EZwave Users and Reference Manual, 2009.2 207
Similarly, to toggle the toolbar off or on, type ALT v (activates the View menu dropdown) and
then type t (for the Toolbar toggle).
Keyboard access keys are unique for each menu item.
Menu Bar Items
File Menu
The File menu contains the following items:
Edit Menu
The Edit menu contains the following items:
Table 9-1. File Menu Items
Icon Item Description
New Creates a new graph window.
Open... Opens any supported file type.
Close Closes the active graph window.
Reload Updates a waveform with new simulator
information.
Save... Saves the active graph window.
Export... Provides a way to save the active graph
window display in JPEG (*.jpg) format.
Page Setup... Specifies the format of the visible data
when printing.
Print... Prints the visible data in the active graph
window.
Database List Opens a recently used waveform database.
Exit Exits the application.
EZwave Users and Reference Manual, 2009.2 208
Application Interface Overview
Window Elements
View Menu
The View menu contains the following items:
Table 9-2. Edit Menu Items
Icon Item Description
Undo Undoes the previous graphical operation,
such as plotting a waveform or splitting a
row.
Redo Removes the effect of the previous undo
operation, performing the operation again.
Cut Removes the currently selected objects
from the active graph window and stores
them on the clipboard.
Copy Makes a duplicate of the currently selected
objects and stores them to the clipboard.
Paste Inserts the contents fromthe clipboard into
the active graph window.
Delete Removes the currently selected objects
from the active graph window.
Select All Selects all objects in the active graph
window for further action.
Unselect All Unselects all currently selected objects in
the active graph window.
Find... Brings up the Find Tool.
Copy Window to
Clipboard
Copies the visible data in the active graph
window to the Windows Clipboard as a
bitmap image. (Available only on
Windows).
Options... Opens the Options dialog box.
Application Interface Overview
Window Elements
EZwave Users and Reference Manual, 2009.2 209
Format Menu
The Format menu contains the following items:
Table 9-3. View Menu Items
Icon Item Description
Zoom Undo Undo the previous zoom operation in the
active Graph window
Zoom Redo Redoes the last action undone by Zoom
Undo
Zoom In X Zooms in to view more detail in the X
direction of the active Graph window.
Zoom Out X Zooms the display out to viewless detail in
the X axis of the active Graph window.
View All Viewall of the active graph windowso that
all waveform data is visible.
Fit Row Heights Changes the row heights in the active
Graph window in order to see as many
rows as possible.
Grid Lines Toggles the display of grid lines within the
active Graph window.
Zero-Level Lines Toggles the visibility of lines showing X=0
and Y=0 in the Graph window
Waveform List Toggles the display of the waveform list
panel.
Toolbar Toggles the display of the toolbar.
Status Bar Toggles the display of the status bar within
the application window.
Refresh Refreshes the display of the active Graph
window.
EZwave Users and Reference Manual, 2009.2 210
Application Interface Overview
Window Elements
Tools Menu
The Tools menu contains the following items:
Table 9-4. Format Menu Items
Item Description
Waveform Names Provides format options for waveform names within
the graph windows. This is a global setting.
Show Full Hierarchy - Controls whether the
waveform name contains the full design path name, or
just the waveform leaf name.
Show Database Names - Controls whether the
displayed waveform name contains the database name.
For example, if there is a waveformnamed "clock" in a
database named "results" then checking this option
would include the text "results" when the "clock"
waveform name was displayed in the graph windows.
Color Scheme Provides control over the color scheme used within the
graph windows. This is a global setting.
Black Background - Uses a black background, with
colors for the graph window objects.
White Background - Uses a white background, with
colors for the graph window objects.
Monochrome - Uses a white background, and use
black for the graph window objects. Instead of using
multiple colors for displaying waveforms, this uses
different line styles.
Table 9-5. Tools Menu Items
Icon Item Description
Waveform Compare Contains submenus to set up and perform
waveform comparison.
Create Bus Creates user-defined buses.
Eye Diagram Creates an eye diagram based on a period
of a waveform.
Application Interface Overview
Window Elements
EZwave Users and Reference Manual, 2009.2 211
Cursor Menu
The Cursor menu contains the following items:
FFT Opens the Fast Fourier Transform dialog
box.
Search Defines and searches for events.
Waveform Calculator Post-processes mixed-signal simulation
results.
Measurement Tool Measures and verifies analog and mixed-
signal simulation results.
Table 9-6. Cursor Menu Items
Icon Item Description
Add Creates a new data cursor in the center of
the active graph window.
Add with Y-Level Creates a new data cursor with a Y-Level
line in the center of the active graph
window.
Next Data Point Moves the cursor to the next data point in
the active graph window.
Previous Data Point Moves the cursor to the previous data
point.
Next Error Moves the cursor to the next error in the
active graph window.
Previous Error Moves the cursor to the previous error in
the active graph window.
Lock Together When
Dragging
Connects all cursors so that when one is
moved, all other cursors are moved the
same amount.
Table 9-5. Tools Menu Items (cont.)
EZwave Users and Reference Manual, 2009.2 212
Application Interface Overview
Window Elements
Window Menu
The Window menu contains the following items:
Zoom Between
Cursors
Zooms between the two cursors that are
farthest apart.
Delete Active Deletes the currently active cursor fromthe
active graph window.
Cursor List At the bottom of the menu is the list of all
cursors in the active graph window.
Selecting one of these will cause the graph
window to scroll so the cursor is shown in
the middle of the window.
Table 9-7. Window Menu Items
Icon Item Description
New Creates a new graph window.
Title Specify a title for the active graph window.
Cascade Arranges the graph windows in an
overlapped (cascade) fashion.
Tile Horizontally Arranges the graph windows in a tiled
(left/right) fashion.
Tile Vertically Arranges the graph windows in a tiled
(top/bottom) fashion.
Tile in a Grid Arranges the graph windows in a tiled
(left/right and top/bottom) fashion.
Restore All Restore all currently minimized graph
windows.
Minimize All Minimizes all graph windows on the active
workspace.
Close All Closes all graph windows in the active
workspace.
Table 9-6. Cursor Menu Items (cont.)
Application Interface Overview
Window Elements
EZwave Users and Reference Manual, 2009.2 213
Help Menu
The Help menu contains the following items:
Toolbar
Figure 9-2. EZwave Toolbar
The toolbar is located directly below the menu bar on the application window. The toolbar
contains buttons (displayed with icons) of frequently used operations.
Place the mouse pointer over the toolbar button to display the tooltip for the button. Tooltips
display directly below the toolbar button.
Additionally, a short description of the item or action performed will appear in the status bar of
the application window.
To execute the action, click the toolbar button.
Window List At the bottom of the menu is a list of all
graph windows on all workspaces.
Selecting one of these will switch to the
workspace containing the window, and
restore the window if it was minimized.
More Windows Provides a secondary list for selection.
Table 9-8. Help Menu Items
Item Description
Contents and Index Launches the online help system.
Quick Start Provides a quick orientation to the GUI.
Keyboard and Mouse Provides a listing of mouse and keyboard
operations and shortcuts.
Tutorial Launches the Tutorial section of this help system.
The Tutorial Data menu item on the submenu
provides a small database for use.
About Provides information about the application for use
with Customer Support.
Table 9-7. Window Menu Items (cont.)
EZwave Users and Reference Manual, 2009.2 214
Application Interface Overview
Window Elements
Note
To leave the toolbar without executing a menu itemafter you have depressed your mouse
key, keep the mouse key depressed and drag your mouse pointer off of the toolbar
completely.
To toggle display of the toolbar, use the View > Toolbar menu item from the menu bar.
Toolbar Buttons
Table 9-9. EZwave Toolbar Buttons
Click To
Create a new graph window.
Open any supported file.
Save the active graph window.
Print the active graph window.
Cut the selection from the active graph window and store it in the viewer
clipboard.
Copy the selection from the active graph window to the viewer clipboard.
Paste the contents of the clipboard in a graph window.
Delete the selection from the active graph window.
Undo the previous graphical operation.
Redo the last undone graphical operation.
Application Interface Overview
Window Elements
EZwave Users and Reference Manual, 2009.2 215
Add a new data cursor
Delete the active data cursor.
Move the data cursor to the previous data point or transition.
Move the data cursor to the next data point or transition.
Move the data cursor to the previous error or difference point.
Move the data cursor to the next error or difference point.
Fit the row heights in the active graph window.
Toggle the active graph window grid lines on or off.
Toggle the Waveform List panel on or off.
View all of the active graph window so that all the data is visible.
Zoom in to increase magnification in the X direction.
Zoom out to decrease magnification in the X direction.
Undo the previous zoom operation. (Multiple operations supported)
Redo last undone zoom
Table 9-9. EZwave Toolbar Buttons (cont.)
EZwave Users and Reference Manual, 2009.2 216
Application Interface Overview
Window Elements
Waveform List Panel
The Waveform List Panel resides on the left side of the application window, below the menu
bar. The waveformlist displays all of the currently open databases as folders with folders or the
individual waveforms listed underneath. Tabs at the bottom of the waveform list allow you to
view the list in a hierarchical format (Tree tab) or as a flat display (List tab). The Tree tab (by
default) splits the panel into a Structure view (top) and a waveform list (bottom). To change
this, go to the Waveform List list item of the EZwave Display Preferences dialog box and clear
the Separate Tree View Into Structure and Waveform List Views option.
Each waveform list element is associated with an icon indicating how the waveform will be
displayed within the graph window. You can perform a quick search of the waveform list by
typing text into the Contains text boxes at the top of any of the panels. All matching waveform
names associated with that panel will be highlighted. The search text can include * and ?
wildcard characters and includes an implied * at the end of the text. You can disable automatic
searching with an option from the Waveform List list item of the EZwave Display Preferences
dialog box; if you do this, you must press Enter to begin your search. For more search options,
use the Find Tool by clicking .
Invoke Waveform Calculator.
Invoke Measurement Tool.
Add a delta marker to a waveform or between two waveforms
Update the graph window with new data from a running simulation. This
button is only available when the application is started from the Questa
ADMS tool, or when the EZwave viewer is connected to an ELDO
simulation.
Arrange multiple graph windows in an overlapped (cascade) fashion.
Arrange multiple graph windows in a stacked (horizontal) fashion.
Arrange multiple graph windows in a side by side (vertical) fashion.
Arrange multiple graph windows in a tiled (horizontal and vertical)
fashion.
Table 9-9. EZwave Toolbar Buttons (cont.)
Application Interface Overview
Window Elements
EZwave Users and Reference Manual, 2009.2 217
Figure 9-3. Waveform List Panel
By default, the WaveformList only displays the WaveformName and Mode columns. To add X
and Y units, right click on the column title bar and select the appropriate option. Right-clicking
the column title bar also displays mode-filtering options. To sort the list, left-click on the
column title bar that you want to sort by.
EZwave Users and Reference Manual, 2009.2 218
Application Interface Overview
Window Elements
Waveform List Element Icons
The waveformlist panel comes with several popup menus that provide quick access to a variety
of database menu items.
The X button in the upper right corner of the waveformlist temporarily hides the panel fromthe
application window to increase your workspace area. To show the panel again, use the
View > Waveform List menu item or the toggle button on the toolbar.
Graph Window
The Graph Window is used to plot and view waveforms.
Table 9-10. Waveform List Element Icons
Icon List Element Description
Saved Waveform
Database
Indicates a waveform database that exists on disk, and
does not contain any unsaved changes. The full path to the
database appears to the right of the name.
Unsaved
database
Indicates a waveform database that contains unsaved
changes. An attempt to close the database will result in a
confirmation box being shown to prevent accidental loss
of the changes.
Folder Indicates a folder within a waveform database.
Design Hierarchy
Folder
Indicates a folder within a waveform database that
contains waveforms in a design hierarchy.
Analog or
complex
waveform
Indicates a waveform containing analog data.
Digital waveform Indicates a waveform containing digital state data.
Step waveform Indicates a waveform whose Y data values are displayed
as discrete levels.
Enumerated
waveform
Indicates a waveform containing Y data values from an
enumerated list.
Compound
waveform
Indicates a compound waveform containing the results of
several simulations for the same node.
Bus Indicates that the waveform is a bus, which means it
contains one or more bus bit waveforms.
Application Interface Overview
Window Elements
EZwave Users and Reference Manual, 2009.2 219
Figure 9-4. EZwave Graph Window
Create new graph windows by selecting File > New, clicking the toolbar button, or with any of
the menu items that plot one or more waveforms. You may also select waveforms from the
Waveform List Panel and use the Plot (Overlaid) or Plot (Stacked) menu item from the popup
menu. You can create a large number of graph windows, but only one graph window may be
active at any time. The active graph window is always on the top of the workspace area.
As each newgraph windowis created, a graph windowbutton is added to the workspace taskbar
at the bottom of the application window. You can use these buttons to easily bring the graph
window to the top of your workspace.
Graph Window Title Bar
Each graph window has a unique name of the form Wave:#. You can add a custom title string
that will appear in the title bar by using the Window > Title menu item or the Window popup
menu.
Rows
A graph window can have multiple graph rows. You can quickly resize the height of an analog
graph row by dragging the X axis within the row. Additional viewing options are available from
the Row popup menu. Right-click within the row to display this menu.
Waveform Names
Waveform Names are shown next to the plot of the waveform. The location of the names,
relative to the plotted waveforms, can be changed by using the Edit > Options menu item(select
Layout from the list in the dialog box).
EZwave Users and Reference Manual, 2009.2 220
Application Interface Overview
Window Elements
Use the Format > Waveform Names menu item to control how much information is shown for
each waveform name.
Right-click the waveform name or the plotted waveform to activate the Waveform popup menu.
You can select multiple waveforms by selecting each one individually with CTRL + click, or
selecting a range with Shift + click.
Graph Window Status Bar
The status bar at the bottom of the graph window displays the current X and Y coordinates of
the mouse pointer. When there are multiple Y axes within a single row, there will be one Y data
value for each of the axes. If you click on a waveform, it also shows the distance between the
point where you clicked and the point where you had previously clicked before that as deltaX
and deltaY.
Workspace
The workspace is the area where the graph windows are displayed. It is located directly below
the toolbar on the application window.
If the Waveform List Panel is displayed, the workspace appears to the right of the waveform
list.
Figure 9-5. Workspace Tabs and Popup Menu
Application Interface Overview
Window Elements
EZwave Users and Reference Manual, 2009.2 221
The application supports multiple workspaces for flexibility in organizing graph windows.
Multiple workspaces are accessed through workspace tabs. Click the workspace tab to bring
that workspace to the top of your application window.
Workspace Taskbar
Above the status bar, a taskbar is available to easily select graph windows. As each new graph
window is added to the workspace, a graph window button is added to this taskbar.
Figure 9-6. Workspace Taskbar
When graph windows are minimized, they appear as icons on the workspace. Click the graph
window button on the workspace taskbar to make that window the active graph window. When
you click the taskbar, if the window is minimized, it is automatically restored and brought to the
front of the workspace.
The taskbar can be turned off through the Workspace Tab of the EZwave Display Preferences
dialog box.
Application Window Status Bar
The status bar is located at the bottom of the application window. The left area of the status bar
describes actions of menu items as you navigate through menus. This area also shows messages
that describe the actions of toolbar buttons as you place the mouse pointer over the buttons.
EZwave Users and Reference Manual, 2009.2 222
Application Interface Overview
Fonts and Colors
The current time on your computer clock is displayed in the field to the right of the status bar.
Fonts and Colors
You can modify the font and color of many of the graphical elements used to display waveforms
in the EZwave viewer. Use the Edit > Options menu item to open the EZwave Display
Preferences dialog box. Expand the Fonts and Colors folder in the list on the left side of the
dialog box to display a list of the elements that you can customize. Click on the appropriate
element in the list to display the font and color options for that element.
The fonts available will vary from system to system, depending on what's installed. To use a
new font in the EZwave viewer, a system administrator must add the font to the system as well
as the font.properties file of the java package.
Note
Saving EZwave files only preserves font changes within the same operating system. This
is because fonts (type, size, and style) vary among different operating systems. Even with
the same operating system, font changes may not be preserved if the fonts are not
installed on the system. If a saved font is not available on a system, the EZwave viewer
will use the default font. Color changes are not affected by different systems and are
preserved when saving.
Axis Title
The following describe the fields of the Axis Title dialog box:
Font: To change the font of axis titles, select an available font from this dropdown list.
Font Size: To change the size of axis titles, select a font point size from this dropdown
list.
Color: Click on the colored box next to Color to open the Color Selection dialog box.
Choose a color and click OK to accept the color and return to the Axis Title dialog box.
Axis Title Axis Values
Axis Values (Smith Chart) Calculator Entry
Cursor/Marker Grid
Header Text Histogram
Measurement Annotation Text Annotation
Waveform Colors Waveform Name
Window Background Zero-Level Line
Application Interface Overview
Fonts and Colors
EZwave Users and Reference Manual, 2009.2 223
Preview: This area displays a sample of what axis titles will look like with the current
selections.
Default: Click the Default button to restore the font and color of the axis titles to the
default settings.
Axis Values
The following describe the fields of the Axis Values dialog box:
Font: To change the font of axis values, select an available font from this dropdown list.
Font Size: To change the size of axis values, select a font point size fromthis dropdown
list.
Color: Click on the colored box next to Color to open the Color Selection dialog box.
Choose a color and click OK to accept the color and return to the Axis Values dialog
box.
Preview: This area displays a sample of what axis values will look like with the current
selections.
Default: Click the Default button to restore the font and color of the axis values to the
default settings.
Axis Values (Smith Chart)
The following describes the fields of the Axis Values (Smith Chart) dialog box:
Font: To change the font of axis values for Smith Charts, select an available font from
this dropdown list.
Font Size: To change the size of axis values for Smith Charts, select a point size from
this dropdown list.
Real Values: This controls the color of the real axis values when displaying a Smith
Chart. Click on the colored box next to Real Values to open the Color Selection dialog
box. Choose a color and click OK to accept the color and return to the Axis Values
(Smith Chart) dialog box.
Imag Values: This controls the color of the imaginary axis values when displaying a
Smith chart. Click on the colored box next to Imag Values to open the Color Selection
dialog box. Choose a color and click OK to accept the color and return to the Axis
Values (Smith Chart) dialog box.
Preview: This area displays a sample of what axis values in Smith Charts will look like
with the current selections.
Default: Click the Default button to restore the font and color of the axis values for
Smith Charts to the default settings.
EZwave Users and Reference Manual, 2009.2 224
Application Interface Overview
Fonts and Colors
Calculator Entry
The following describes the fields of the Calculator Entry dialog box:
Font Size: To change the size of the font of text in the Waveform Calculator, select a
point size from this dropdown list.
Preview: This area displays a sample of what the text will look like with the current
selections.
Default: Click the Default button to restore the font size of the calculator entries to the
default setting.
Cursor/Marker
The following describes the fields of the Cursor/Marker dialog box:
Font: To change the font of the values in cursors and markers, select an available font
from this dropdown list.
Font Size: To change the size of the font of values in cursor and markers, select a point
size from this dropdown list.
Font Style: This controls the style of the font of values in cursors and markers.
Y Values: This controls the display color of the Y values associated with cursors and
markers. Click on the colored box next to Y Values to open the Color Selection dialog
box. Choose a color and click OK to accept the color and return to the Cursor/Marker
dialog box.
X Values: This controls the display color of the X values associated with cursors and
markers. Click on the colored box next to X Values to open the Color Selection dialog
box. Choose a color and click OK to accept the color and return to the Cursor/Marker
dialog box.
Preview: This area displays a sample of what the text will look like with the current
selections.
Cursor Line: This controls the color of cursor lines. Click on the colored box next to
Cursor Line to open the Color Selection dialog box. Choose a color and click OK to
accept the color and return to the Cursor/Marker dialog box.
Marker Line: This controls the color of marker lines. Click on the colored box next to
Marker Line to open the Color Selection dialog box. Choose a color and click OK to
accept the color and return to the Cursor/Marker dialog box.
Default: Click the Default button to restore the font and color of cursors and markers to
the default settings.
Application Interface Overview
Fonts and Colors
EZwave Users and Reference Manual, 2009.2 225
Grid
The Grid dialog box controls how the color of grid lines is determined. If Color selected
according to the Y Axis is selected, the grid lines' colors will match the colors of the
appropriate Y axes. If Use Color is selected, the color in the colored box is used. To choose a
color, click on the colored box to open the Color Selection dialog box. The default setting is to
use the colors of the Y axes.
Header Text
The following describes the fields of the Header Text dialog box:
Note
By default, header text is hidden. Use File > Page Setup to select the text to display in
the header.
Font: To change the font of header text, select an available font from this dropdown list.
Font Size: To change the size of the font, select a point size from this dropdown list.
Color: Click on the colored box next to Color to open the Color Selection dialog box.
Choose a color and click OK to accept the color and return to the Header Text dialog
box.
Preview: This area displays a sample of what the text will look like with the current
selections.
Default: Click the Default button to restore the font and color of header text to the
default settings.
Histogram
The following describes the fields of the Histogram dialog box:
Pattern fill: Specifies that histogram bars use a pattern fill. This is the default setting.
Transparent: Specifies that the histogrambars be transparent, useful for lange numbers
of histograms. Overlapping histograms are shaded to improve visualization of the
distribution.
No fill: Specifies that the histogram bars are not filled with any pattern. This is useful
when running the EZwave viewer over a network.
Measurement Annotation
The following describes the fields of the Measurement Annotation dialog box:
EZwave Users and Reference Manual, 2009.2 226
Application Interface Overview
Fonts and Colors
Font: To change the font of measurement annotation text, select an available font from
this dropdown list.
Font Size: To change the size of the font, select a point size from this dropdown list.
Color: Click on the colored box next to Color to open the Color Selection dialog box.
Choose a color and click OK to accept the color and return to the Measurement
Annotation dialog box.
Preview: This area displays a sample of what the text will look like with the current
selections.
Default: Click the Default button to restore the font and color of measurement
annotations to the default settings.
Text Annotation
The following describes the fields of the Text Annotation dialog box:
Font: To change the font of text annotations, select an available font from this
dropdown list.
Font Size: To change the size of the font, select a point size from this dropdown list.
Color: Click on the colored box next to Color to open the Color Selection dialog box.
Choose a color and click OK to accept the color and return to the Text Annotation
dialog box.
Preview: This area displays a sample of what the text will look like with the current
selections.
Default: Click the Default button to restore the font and color of text annotations to the
default settings.
Waveform Colors
Select Color Schemes share the same Waveform Colors Palette to keep waveform colors
consistent, regardless of the Color Scheme being used (with the exception of monochrome).
This is the default setting.
If this option is not selected, when you change color schemes, waveform colors may change for
better contrast against the different backgrounds.
Waveform Name
The following describes the fields of the Waveform Name dialog box:
Font: To change the font of waveform names, select an available font from this
dropdown list.
Application Interface Overview
Keyboard and Mouse
EZwave Users and Reference Manual, 2009.2 227
Font Size: To change the size of the font, select a point size from this dropdown list.
Color: Click on the colored box next to Color to open the Color Selection dialog box.
Choose a color and click OK to accept the color and return to the Waveform Name
dialog box.
Preview: This area displays a sample of what the text will look like with the current
selections.
Default: Click the Default button to restore the font and color of waveformnames to the
default settings
Window Background
This dialog box controls the background color of EZwave windows. Click on the colored box
next to Color and use the Color Selection dialog box to choose a color. The default background
color is black.
Zero-Level Line
The Zero-Level Line dialog box controls how the color of the zero-level line is determined. If
Color selected according to the Y Axis is selected, the zero-level line color will match the
colors of the appropriate Y axis. If Use Color is selected, the color in the colored box is used.
To choose a color, click on the colored box to open the Color Selection dialog box. The default
setting is to use the colors of the Y axes.
Keyboard and Mouse
This product has a number of keyboard shortcuts and access features. Some frequently used
commands are shown below.
Table 9-11. Frequently Used Keyboard Commands
Press To
F2 Unselect All
F5 Add Cursor at Mouse Pointer Location
CTRL + A Select All
CTRL + C Copy
CTRL + N Open New Graph Window
CTRL + V Paste
CTRL + W Close Window
CTRL + X Cut
EZwave Users and Reference Manual, 2009.2 228
Application Interface Overview
Keyboard and Mouse
Mouse Strokes
Mouse Strokes provide a convenient way to perform common tasks by simply drawing shapes
using the mouse. For example, drawing the letter "D" deletes the current set of selected objects.
By default, mouse strokes are executed with the middle mouse button. If you do not have a
middle mouse button, use the Edit > Options menu item and then select a keyboard modifier
from the dialog box. Use these keyboard modifiers together with the left mouse button to draw
strokes.
Mouse strokes available with this application are as follows:
ESC Dismiss popup menu, abort an in-progress mouse drag
operation or close a dialog box without applying
selections.
Table 9-12. Mouse Strokes
Stroke Performs
File > Open
Edit > Delete
Edit > Unselect All
View > Zoom Out
View > Zoom In
View > View All
View Area - zooms in to view the area covered by the stroke.
Table 9-11. Frequently Used Keyboard Commands
Application Interface Overview
Keyboard and Mouse
EZwave Users and Reference Manual, 2009.2 229
Right Mouse Button
The right mouse key serves an important purpose with this application. Click the right mouse
button to display a number of context sensitive popup menus. In this help system, references to
right-click indicate this activity.
Scroll X Location to Center of Graph Window
File > Close if done within an active graph window or File > Exit
if done on the workspace
Displays all available mouse strokes
Table 9-12. Mouse Strokes
EZwave Users and Reference Manual, 2009.2 230
Application Interface Overview
Keyboard and Mouse
EZwave Users and Reference Manual, 2009.2 231
Chapter 10
Menus
This chapter describes the menus and their items in detail.
File Menu
Edit Menu
View Menu
Format Menu
Tools Menu
Cursor Menu
Window Menu
Popup Menus
File Menu
The File menu contains the following items:
Close
Database List
Exit
Export
New
Open
Page Setup
Print
Reload
Save
Close
Use the File > Close menu item to close the currently active graph window.
EZwave Users and Reference Manual, 2009.2 232
Menus
File Menu
Shortcuts
See Also
Close All
Graph Window
Database List
The Database list shows previously opened databases, allowing them to be easily opened again.
The Database List does not display Saved Graph Window files (*.swd). To open these files, use
the File > Open menu item.
You can clear the entire Database Listing or toggle the listing off and keep the information in
memory through General from the EZwave Display Preferences dialog box.
See Also
File > Open
General - EZwave Display Preferences
Saving and Restoring Sessions
Waveform List Panel Popup Menu
Exit
Use the File > Exit menu item to close all graph windows, databases, and the application. You
will be prompted to confirm the exit, and if there are databases with unsaved changes, you will
be prompted to save them before the application closes.
If you do not wish to see the exit confirmation box, click the "Don't ask me about this again"
checkbox.
You may also control the display of the exit confirmation box through the General list item in
the EZwave Display Preferences dialog box.
Keys CTRL + W
Mouse Strokes
(Must be performed in the
currently active graph
window)
Menus
File Menu
EZwave Users and Reference Manual, 2009.2 233
Shortcuts
See Also
Close All
Graph Window
Options Dialog Box - Disabling the confirmation box
Saving and Restoring Sessions
Export
Use the File > Export menu itemto save the active graph window in JPEG (.jpg) or PNG (.png)
format. The saved file has a unique (*.jpg or *.png) file extension added to the file.
If the file already exists, you must confirm that you wish to overwrite the graphic file.
Refer to Exporting Graph Window for more information about options available when
exporting a window to a graphics file.
See Also
Copy Window to Clipboard
New
Use the File > New menu item to create a new graph window.
Shortcuts
See Also
Close the active graph window
Close all windows in the workspace
Mouse Strokes
Must be performed on the
workspace)
Keys CTRL + N
Mouse Strokes
EZwave Users and Reference Manual, 2009.2 234
Menus
File Menu
Graph Window
Open
Use the File > Open menu item to display the Open dialog box, where you can open any of the
supported file types. Examples of supported file types include:
Table 10-1. Supported File Types
Icon File Type Extension
MGC Database (JWDB) *.wdb
ICX Charter waveforms *.cht
Comma Separated Value (CSV) *.csv
HSPICE Graph Data File *.tr%, *.ac%, *.mt,
*.sw%
COU File *.cou
Value Change Dump (VCD) *.vcd
HSPICE/HyperLynx output file *.lis
Fast Signal Database File
1
*.fsdb
SPICE PWL File *.sti
Raw ASCII File *.tab
Saved Graph Window *.swd
DO File *.dofile, *.dof, *.do
Tcl File *.tcl
Menus
File Menu
EZwave Users and Reference Manual, 2009.2 235
The Open dialog box also has a filter to display all files. However, selecting a file type that is
not currently supported will produce an error message from the application.
Shortcuts
See Also
Saving and Restoring Graph Windows
Page Setup
Use the File > Page Setup menu itemto specify header options and color scheme when printing
visible data. Header options include displaying the database title and the current or simulation
date, time, and user's name and machine name.
Header Options
The Header Options determine the text thats displayed at the top of the graph window.
Display
To display a title in the header text, select Display and choose from the three dropdown
list items: Database Title, Netlist Title, or Window Title.
GZipped File
2
(*.z, *.Z, *.gz)
1. The FSDB reader is not available on the Sun (64-bit) nor the
Windows platforms.
2. GZipped files require the gunzip tool and the compressed file
should follow the convention, <original_file_name>.gz (or .z or .Z).
The EZwave tool will not modify the compressed file; it is
uncompressed in the MGC_TMPDIR temporary directory and is
removed from disk after you exit the EZwave tool.
Keys CTRL + O
Toolbar
Mouse Strokes
Table 10-1. Supported File Types (cont.)
Icon File Type Extension
EZwave Users and Reference Manual, 2009.2 236
Menus
File Menu
Current or Simulation
Current will display the current Time, Date, User Name, Machine Name, or any
selected combination of these. Selecting Simulation will only display the simulation
time and date, even if User Name or Machine Name is selected.
Color Scheme
Color Scheme options specify how the image is displayed when printing or exporting as
follows:
Colors as Displayed
Specifies that no changes be made to the printed or exported graph window image.
Colors on Black Background
Specifies that graph windows are printed or exported using a black background.
Depending on the Waveform Colors setting, printed or exported waveform colors may
differ from on-screen colors if this option is selected.
Colors on White Background
Specifies that graph windows are printed or exported using a white background.
Depending on the Waveform Colors setting, printed or exported waveform colors may
differ from on-screen colors if this option is selected.
Monochrome
Specifies that graph windows are printed or exported in a monochrome scheme (black
waveforms on a white background).
Print
Use the File > Print menu item to send the visible data in your active graph window, all visible
graph windows, or all open graph windows to a currently configured printer or to a PostScript
file.
File > Print opens the Print dialog box.
If you are having difficulty using /usr/sbin/lpc to print from a Linux system, refer to the Linux
printing notes.
Menus
File Menu
EZwave Users and Reference Manual, 2009.2 237
Shortcuts
Reload
Use the File > Reload menu item to reload the simulator data and restore the windows.
Shortcuts
See Also
Open (File Menu)
Save
Use the File > Save menu item to save a graph window or windows as a Saved Graph Window
(.swd) file so it can be opened later. File > Save brings up the Save Windows, which allows you
to elect to save the active window or all windows.
File > Save also creates a waveform database file (.wdb) of the same name. The waveform
database file created will contain only the waveforms currently plotted in the graph window.
If a saved window contains waveforms from multiple databases, then the database saved with
the window will contain a subfolder for each of the original databases.
Example: If a graph window contains waveforms "a", "b" and "c" from database "wdb1" and
waveforms "d', "e" and "f" from database "wdb2", then the database that is saved with the
window will have the following structure:
Keys CTRL + P
Toolbar
Keys CTRL + R
EZwave Users and Reference Manual, 2009.2 238
Menus
Edit Menu
If the file already exists, you must confirm the desire to overwrite the database.
Shortcuts
See Also
Saving Graph Windows
Edit Menu
The Edit menu contains the following items:
Copy
Copy Window to Clipboard
Cut
Delete
Find
Options
Paste
Select All
Undo/Redo
Unselect All
Copy
Use the Edit > Copy menu item to make a duplicate of the currently selected objects from the
active graph window and store it to the clipboard.
Objects are placed on the clipboard for a Paste operation within the active window, a new
window, or another window if you desire.
Keys CTRL + S
Toolbar
Menus
Edit Menu
EZwave Users and Reference Manual, 2009.2 239
Shortcuts
See Also
Edit > Cut
Edit > Paste
How to Copy Waveforms
Copy Window to Clipboard
Note
This item is only available on the Windows platform.
Click Edit > Copy Window to Clipboard to copy the visible data from the active window to
the Windows clipboard. The window is copied as a bitmap image, which can then later be
inserted into other applications.
See Also
Export (File Menu)
Cut
Use the Edit > Cut menu item to remove the currently selected objects from the active graph
window.
Objects are placed on the clipboard for a paste operation within the active window, a new
window, or another window if you desire. If you do not wish to store the object on your
clipboard, use the Edit > Delete menu item.
Keys CTRL + C
Toolbar
EZwave Users and Reference Manual, 2009.2 240
Menus
Edit Menu
Shortcuts
See Also
Edit > Delete
Edit > Paste
How to Copy Waveforms
How to Hide Waveforms
Delete
Use the Edit > Delete menu itemto remove the currently selected objects fromthe active graph
window.
Shortcuts
See Also
Edit > Cut
How to Hide Waveforms
Find
Use the Edit > Find menu item to search for named waveforms.
The menu item opens the Find Tool, which displays a filtered list of waveforms and allows
those waveforms to be plotted in the active window.
Keys CTRL + X
Toolbar
Keys Delete
Toolbar
Mouse Strokes
Menus
Edit Menu
EZwave Users and Reference Manual, 2009.2 241
Options
Use Edit > Options to open the EZwave Display Preferences dialog box from which you can
control the application settings.
The menu item opens the EZwave Display Preferences dialog box, which contains the
following list items:
Table 10-2. Options (Edit Menu) Items
List Items Description
Cursors (EZwave Display
Preferences Dialog Box)
Settings to control cursor options.
Data Format (EZwave
Display Preferences Dialog
Box)
Settings to control the scaling and format of the axis tick
labels.
General (EZwave Display
Preferences Dialog Box)
Settings that affect the overall use of the application.
Layout (EZwave Display
Preferences Dialog Box)
Settings to control the layout of the graph windows.
Mouse Pointer (EZwave
Display Preferences Dialog
Box)
Settings to control mouse pointer and mouse strokes
properties.
Multiple Run (EZwave
Display Preferences)
Settings to control how compound waveforms from
multiple runs are displayed.
RF (EZwave Display
Preferences Dialog Box)
Settings that affect RF calculations in the waveform
calculator.
Row (EZwave Display
Preferences Dialog Box)
Settings to control default row heights.
Save (EZwave Display
Preferences Dialog Box)
Settings to control how waveforms are saved to disk.
Text Annotations (EZwave
Display Preferences Dialog
Box)
Setting to control the annotation display options.
Transformations (EZwave
Display Preferences Dialog
Box)
Settings to control which data transformations are used
with complex waveforms.
Waveform Settings to control how waveforms are displayed.
Waveform List (EZwave
Display Preferences Dialog
Box)
Settings to control how the Waveform List is displayed.
EZwave Users and Reference Manual, 2009.2 242
Menus
Edit Menu
The Options menu item is also available from the Workspace popup menu. To activate this
menu, right-click in the workspace area.
Paste
Use the Edit > Paste menu item to insert the contents of the clipboard in the active graph
window, a new graph window or a different graph window. Newly added waveforms appear in
new rows at the bottom of the window.
This operation is also available from several popup menus within the active graph window as
well as the Workspace popup menu. To access these menus, right-click in the active graph
window or in the Workspace area for the workspace popup menu.
Shortcuts
See Also
Edit > Cut
Edit > Paste
How to Copy Waveforms
Select All
Use the Edit > Select All menu item to select all objects in the active graph window.
Click a blank area of the active graph window to clear the selection.
Workspace (EZwave
Display Preferences Dialog
Box)
Settings to control workspace related operations.
Fonts and Colors Settings to control the fonts and colors of graphical
elements.
Waveform Calculator
(EZwave Display
Preferences Dialog Box)
Settings to control Waveform Calculator options.
Keys CTRL + V
Toolbar
Table 10-2. Options (Edit Menu) Items
Menus
Edit Menu
EZwave Users and Reference Manual, 2009.2 243
Shortcuts
See Also
Unselect All (Edit Menu)
Undo/Redo
Use the Edit > Undo menu item to undo previous graphical operations within EZwave. The
Undo command is able to undo operations such as adding, moving, and deleting waveforms and
cursors, which occur graphically within EZwave. It is not able to operate on simulation-related
actions, such as creating waveforms in the database or plotting waveforms interactively from
the simulator graphical interface.
The undo buffer can hold multiple commands, so that you can undo the last several actions.
However, some actionsparticularly those that would cause undo to become unsafeclear the
undo buffer. These commands include the following:
Opening a swd, do, or tcl file
Commands sent by a simulator or client that could corrupt the undo buffer
Updating compound structure (usually sent by a simulator)
Calculator operations that create or manipulate hidden objects
Deleting an object from a database
Using the Create Bus tool
Converting a digital wave to analog or an analog wave to digital
Creating or updating an eye diagram
Adding a measurement
Performing a measurement in the calculator with a command that plots a result
waveform
Deleting a workspace
Renaming a database or a waveform within a database
Issuing an Update Waveforms command
Additionally, the following commands cannot currently be undone, but do not clear the undo
buffer:
Adding or renaming a workspace
Keys CTRL + A
EZwave Users and Reference Manual, 2009.2 244
Menus
Edit Menu
Showing or hiding all annotations
Moving an annotation or annotation text
Turning on or off cursor locking
Turning on or off snapping to data points
Executing Hide Value or Y-Level Line from the Cursor Value Menu
Changing the visibility of the cursor values
Resizing or scrolling a graphical window
The Edit > Redo command repeats the commands that were undone with the Undo command,
starting with the most recent one.
This functionality can be disabled through an option in the General area of the EZwave Display
Preferences dialog box.
Shortcuts
Unselect All
Use the Edit > Unselect All menu item to clear the selection of all objects in the active graph
window. You may also click a blank area of the active graph window to clear the selection.
Shortcuts
See Also
Select All (Edit Menu)
Toolbar (Undo)
Toolbar (Redo)
Keys F2
Mouse Strokes
Menus
View Menu
EZwave Users and Reference Manual, 2009.2 245
View Menu
The View menu contains the following items:
Fit Row Heights
Grid Lines
Status Bar
Toolbar
View All
Waveform List
Zero-Level Lines
Zoom In
Zoom Out
Zoom Redo
Fit Row Heights
The View > Fit Row Heights menu itemchanges the row heights in the active graph window in
order to make as many rows as possible visible. For example, use this item after you manually
change the height of one or more rows and then want to reset the row heights.
Shortcuts
See Also
View All
Zoom Between Cursors (Cursor Menu)
Zoom In
Zoom Out
Zoom Redo
Zoom Undo
Keys CTRL +H
Toolbar
EZwave Users and Reference Manual, 2009.2 246
Menus
View Menu
Grid Lines
The View > Grid Lines menu item toggles the visibility of all grid lines within all rows of the
active graph window. When executed, this menu item sets a mode for the active graph window
that affects the grid line visibility in all rows added in the future. For example, if the mode is
"on" then newly added rows will show their grid lines (both vertical and horizontal).
Only rows showing analog waveforms (rows with a Y axis) support the display of grid lines. It
is possible to control the grid lines on an individual axis by using the axis popup menu.
The color of grid lines can be change by using the Grid dialog box.
Shortcuts
See Also
Graph Window
Status Bar
Use the View > Status Bar menu item to toggle the display of the status bar in the application
window. When the status bar is visible, a checkmark appears next to the item.
See Also
Application Interface Overview
Toolbar
Use the View > Toolbar menu item to toggle the display of the toolbar in the application
window. When the toolbar is visible, a checkmark appears next to the item.
See Also
Application Interface Overview
View All
Use the View > View All menu item to reset magnification to view all of the data in all of the
rows within the active graph window. This operation does not change the height of any of the
rows.
Toolbar
Menus
View Menu
EZwave Users and Reference Manual, 2009.2 247
Shortcuts
See Also
Fit Row Heights
Zoom Between Cursors (Cursor Menu)
Zoom In
Zoom Out
Zoom Redo
Zoom Undo
Waveform List
Use the View > Waveform List menu item to toggle the display of the Waveform List panel.
When the Waveform List panel is visible, a checkmark appears next to the item.
Note
The Waveform List panel can also be hidden in the following ways:
1. By using the Waveform List popup menu's Hide Waveform List item
2. By clicking the Close button in the upper-right corner of the panel (appears as an "X")
3. By clicking the toggle button on the toolbar
See Also
Adding Waveforms to the Graph Window
Database Popup Menu
Waveform List Icons
Waveform List Panel
Keys A
Toolbar
Mouse Strokes
EZwave Users and Reference Manual, 2009.2 248
Menus
View Menu
Waveform List Popup Menu
Waveform Popup Menus
Zero-Level Lines
The View > Zero-Level Lines menu item toggles the visibility of lines showing X=0 and Y=0
in the graph window. Any individual row settings for viewing zero-level lines are overridden by
this menu option.
Only rows showing analog waveforms (rows with a Y axis) support the display of zero-level
lines. It is possible to control the zero-level lines on an individual axis by using the axis popup
menu. If the zero-level line for an X axis is selected, it turns on the zero-level lines for all rows.
Selecting the zero-level line for a Y axis activates the zero-level line on a per-row basis.
See Also
Graph Window
Zoom In
Use the View > Zoom In menu item to increase magnification to view more detail in the X
direction of the active graph window.
Shortcuts
See Also
Fit Row Heights
View All
Zoom Between Cursors (Cursor Menu)
Zoom Out
Zoom Redo
Keys I
Toolbar
Mouse Strokes
Menus
View Menu
EZwave Users and Reference Manual, 2009.2 249
Zoom Undo
Zoom Out
Use the View > Zoom Out menu item to decrease magnification to view less detail in the X
direction of the active graph window.
Shortcuts
See Also
Fit Row Heights
View All
Zoom Between Cursors (Cursor Menu)
Zoom In
Zoom Redo
Zoom Undo
Zoom Redo
Use the View > Zoom Redo menu item to redo the last action undone by Zoom Undo.
Shortcuts
See Also
Fit Row Heights
Keys O
Toolbar
Mouse Strokes
Keys R
Toolbar
EZwave Users and Reference Manual, 2009.2 250
Menus
Format Menu
View All
Zoom Between Cursors (Cursor Menu)
Zoom In
Zoom Out
Zoom Undo
Zoom Undo
Use the View > Zoom Undo menu item to undo the previous zoom operation. It is possible to
undo multiple zoom operations.
Shortcuts
See Also
Fit Row Heights
View All
Zoom Between Cursors (Cursor Menu)
Zoom In
Zoom Out
Zoom Redo
Format Menu
The Format menu contains the following items:
Waveform Names
Color Scheme
Waveform Names
Provides format options for waveformnames within the graph windows. This is a global setting.
Keys U
Toolbar
Menus
Tools Menu
EZwave Users and Reference Manual, 2009.2 251
Show Full Hierarchy
Controls whether the waveform name contains the full design path name, or just the
waveform leaf name.
Show Database Names
Controls whether the displayed waveform name contains the database name. For
example, if there is a waveform named "clock" in a database named "results" then
checking this option would include the text "results" when the "clock" waveform name
was displayed in the graph windows.
Color Scheme
Provides control over the color scheme used within the graph windows. This is a global setting.
Black Background
Uses a black background, with colors for the graph window objects.
White Background
Uses a white background, with colors for the graph window objects.
Monochrome
Uses a white background, and use black for the graph window objects. Instead of using
multiple colors for displaying waveforms, this uses different line styles.
Tools Menu
The Tools menu contains the following items:
Waveform Compare
Create Bus
Eye Diagram
FFT
Search
Waveform Calculator
Measurement Tool
Waveform Compare
This menu item contains the following submenus related to waveform comparison:
EZwave Users and Reference Manual, 2009.2 252
Menus
Tools Menu
Comparison Wizard
(ALT-w) Opens the Waveform Compare Wizard. The Waveform Compare Wizard is a
series of dialog boxes that guide you through the waveform comparison process.
Start Comparison
(ALT-s) Opens the Select Datasets dialog box to begin Manually Comparing
Waveforms.
Add
Use the Add submenu once youve started a waveform comparison to add more
waveforms. It contains the following items:
o Compare by Waveforms
(ALT-q) Opens the Select Waveforms dialog box where you can choose the
waveforms for comparison.
o Compare by Hierarchy
(ALT-h) Opens the Select Hierarchy dialog box where you can choose the hierarchy
for waveform comparison.
o Compare All Waveforms
(ALT-a) Specifies that all available waveforms in the test dataset be compared
against the same waveforms in the reference dataset.
Run Comparison
(ALT-r) Begins the waveform comparison.
End Comparison
(ALT-e) Ends the waveform comparison.
Differences
(ALT-w) The Differences submenu contains the Write Reportitem, used to choose a
name and location for the waveform comparison report.
Rules
The Rules submenu contains the following items:
o Show
(ALT-w) Displays the file containing the current waveformcomparison rules for this
session.
o Save
Opens a file browser so you can save the rules for the current session into a Tcl file.
Menus
Tools Menu
EZwave Users and Reference Manual, 2009.2 253
Options
(ALT-o) Opens the Comparison Options dialog box, used to set the different options
related to waveform comparison.
Create Bus
This tool allows you to create your own bus from selected digital waveforms (or so called bits).
The dialog shows the default name of the bus and the contents of the bus. See Create Bus on
page 313.
Figure 10-1. Create Bus Dialog Box
EZwave Users and Reference Manual, 2009.2 254
Menus
Tools Menu
Eye Diagram
This opens the Eye Diagram Tool. For more information, see Eye Diagram Tool Dialog Box.
FFT
This menu item opens up the FFT Input Dialog Box.
The Fast Fourier Transform (FFT) is the fastest and most efficient available algorithm for
computing the DFT. Use the FFT Input dialog box to select parameters for an FFT.
There are two ways to access the Fast Fourier Transform dialog box:
Select Tools > FFT from the menu bar.
You can also access the Fast Fourier Transform dialog box through the Waveform
Calculator:
o Click on the Waveform Calculator icon, or select it from the Tools menu.
o Select Panel > Signal Processing, or from the icon bar, use the pulldown menu to
select Signal Processing.
Menus
Tools Menu
EZwave Users and Reference Manual, 2009.2 255
o Click the FFT button on the calculator keypad.
EZwave Users and Reference Manual, 2009.2 256
Menus
Tools Menu
Search
The Event Search Tool enables you to locate occurrences of simulation events interactively. An
event is a definition of specific states (or values) for a single or a collection of waveforms. To
define an event, you need to select a set of waveforms and specify the states (or values) you
want them to have.
You can perform a basic event search or an expression event search.
Menus
Tools Menu
EZwave Users and Reference Manual, 2009.2 257
Fields
Event
Specify an event name in the text field. Click Save if you want to save the event
definition for later use. To delete a previously defined event, select it fromthe dropdown
list and click Delete.
Basic Event Setup
Specify the source waveform you wish to search by selecting a waveform that is
displayed in the active Graph Window. Then click on to add the selected waveform
to the Source Waveform field.
You can choose an event type from the following four types:
o Any Event
Moves from one event to the previous or next event occurrence on the specified
waveform.
o Rising Edge
Moves fromone rising edge to the previous or next rising edge occurrence. Click the
Rising Edge icon to open the Search Event Settings dialog.
Check the Levels are Relative to the Topline and Baseline box if you want to
automatically select the Top and Base line. You can select lower and upper
percentages through the dropdown list. To manually set the Top and Base lines,
deselect the Levels are Relative to the Topline and Baseline box. You can now
enter appropriate lower and upper values. Close the Search Event Settings dialog
and click on to show the lines in the Graph Window.
EZwave Users and Reference Manual, 2009.2 258
Menus
Tools Menu
o Falling Edge
Moves from one falling edge to the previous or next falling edge occurrence. Click
the Falling Edge icon to open the Search Event Settings dialog.
Check the Levels are Relative to the Topline and Baseline box if you want to
automatically select the Top and Base line. You can select lower and upper
percentages through the dropdown list. To manually set the Top and Base lines,
deselect the Levels are Relative to the Topline and Baseline box. You can now
enter appropriate lower and upper values. Close the Search Event Settings dialog
and click on to show the lines in the Graph Window.
o Waveform Value
Moves from one waveform value to the previous or next specified waveform value.
Note that a tolerance needs to be specified if you search for data points generated by
an analog simulator.
Expression Setup
Use this option to specify a logic expression to search for. To select an expression, use
one of the following methods:
o Click on the Waveform Calculator button to create or select an existing expression.
Click Eval in the Waveform Calculator to import the expression from the calculator
to the Event Search Tool.
o Click on the Add selected waveformbutton and enter the logical function names
or operators in the expression area.
Search
To search for the event that you specify, you may move the cursor along the specified
waveformby clicking in the Event Search field to go in the decreasing time value
direction, and to go in an increasing time value direction.
You can also jump to a marker by selecting the marker name from the drop-down list or
by using the previous marker button and next marker button .
Menus
Tools Menu
EZwave Users and Reference Manual, 2009.2 259
Markers
If you find an event you want to mark, in the Markers section of the Event search tool
select Add a Marker button . You can right-click on the marker and rename the
marker, move the marker, copy the marker to the clipboard, or delete the marker as
desired.
Dock
Select this option to attach the Event Search Tool to the main application window.
Waveform Calculator
The Waveform Calculator enables you to post-process waveforms for advanced analyses or
debugging.
The user interface of Waveform Calculator includes the following major components:
1. Chooser Panel
2. Function Help
3. History/Stack Panel
4. Expression Entry Area
5. Button Panel
6. Evaluation Mode Bar
7. Status Bar
Figure 10-2. Waveform Calculator With Button Panel
EZwave Users and Reference Manual, 2009.2 260
Menus
Tools Menu
Chooser Panel
On the left side of the calculator, the Chooser Panel has four tabbed panes and each pane
contains information in a hierarchical tree format. The Results Chooser tab (Rslts) contains
results generated by evaluating an expression. These can be scalars, vectors, and waveforms. If
the result of an expression evaluation is a registered variable, the variable is put in the Variables
Chooser tab (Vars). The Functions Chooser tab (Funcs) contains built-in functions and
functions that you have registered with the calculator. The Expressions Chooser tab (Expr)
contains expressions that you have evaluated in the calculator.
Double-clicking a function name in the Functions Chooser tab adds it to the Expression Entry
Area. If a dialog box for that function is available, double-clicking the function will first bring
up a dialog box allowing you to enter parameter information before adding it to the Expression
Entry Area.
Function Help
Display function help with the View > Function Help menu item. The Waveform Calculator
displays the description, syntax, and parameters for the selected function.
History/Stack Panel
When you are in Infix mode, the History/Stack Panel displays the list of expressions that have
been evaluated and the results of these evaluations. When you are in RPN mode or RPN-
Deferred mode, the list represents the calculator stack.
Expression Entry Area
The Expression Entry Area is a single line text box. When you select buttons on the calculator
and drag-and-drop waveform names, it drives the content of this text box. You can also edit the
line directly. Expressions may be entered using IEEE notation (for example, 5T, 3.2u), SPICE
notation (for example, 7.1MEG, 0.2N), or engineering notation (for example, 1.1e+3, 6e-2).
Button Panel
There are functions located on the buttons of the calculator and also in the functions on the
Functions Chooser tabbed pane of the calculator. The Button Panel does not include a button for
every function or operator. The WaveformCalculator allows you to add other built-in functions
or user-defined functions to the Button Panel. The Button Panel changes the listed functions
based on what level you choose:
Complex
Logical
RF
Menus
Tools Menu
EZwave Users and Reference Manual, 2009.2 261
Statistical
Signal Processing
Trigonometric
Evaluation Mode Bar
On the bottom-right corner, the Evaluation Mode Bar shows the input and evaluation mode
(e.g., INFIX for Infix mode) and the trigonometric angle mode (e.g., DEG for Degrees).
Waveform Calculator Status Bar
The Status Bar shows whether the calculator is in busy or ready state. It also shows error
messages if the input expression has a syntax error.
Use the Edit > Options menu item of the Waveform Calculator application window to control
the calculator settings.
Measurement Tool
Use the Tools > Measurement Tool menu itemto pop up the Measurement Tool Window. The
Measurement Tool can be used to measure the following values of a waveform:
Using the Measurement Tool functions in the Waveform Calculator
Using the Measurement Tool with compound waveforms
Table 10-3. Measurement Tool Values
Eye Diagram Frequency Domain General Statistical Time Domain
Eye Height Bandpass Average Maximum Delay
Eye Width Gain Margin Crossing Mean Duty Cycle
Phase Margin Intersect Mean +3 Std Dev Falltime
Local Max Mean -3 Std Dev Frequency
Local Min Minimum Overshoot
Maximum RMS Period
Minimum RMS AC Pulse Width
Peak to Peak RMS Noise Risetime
Slope RMS Tran Settle Time
Slope Intersect Standard Deviation Slew Rate
YVal Undershoot
EZwave Users and Reference Manual, 2009.2 262
Menus
Cursor Menu
Cursor Menu
Add (Cursor Menu)
Select the Cursor > Add menu item to create a new cursor in the middle of the active graph
window. You may create an unlimited number of data cursors in a graph window.
Whenever a new cursor is added, it is also added to the list of cursors maintained at the bottom
of the Cursor menu. To quickly view a cursor that is not currently in the visible region of the
active graph window, select the cursor's name from the list.
Shortcuts
See Also
Add Cursor with Y-Level
Cursor List
Delete Cursor
Lock Cursors
Move Cursor to Next Data Point
Move Cursor to Previous Data Point
Zoom Between Cursors
Add with Y-Level (Cursor Menu)
The Cursor > Add with Y-Level menu item is similar to the Cursor > Add menu item except
that the new cursor includes a Y-level line.
Whenever a new cursor is added, it is also added to the list of cursors maintained at the bottom
of the Cursor menu. To quickly view a cursor that is not currently in the visible region of the
active graph window, select the cursor's name from the list.
See Also
Add Cursor
Keys F5
Toolbar
Menus
Cursor Menu
EZwave Users and Reference Manual, 2009.2 263
Cursor List
Delete Cursor
Lock Cursors
Move Cursor to Next Data Point
Move Cursor to Previous Data Point
Zoom Between Cursors
Cursor List (Cursor Menu)
The Cursor List provides a listing of all cursors currently in the active graph window. Select the
cursor from the list to move from cursor to cursor.
See Also
Add Cursor
Add Cursor with Y-Level
Delete Cursor
Lock Cursors
Move Cursor to Next Data Point
Move Cursor to Previous Data Point
Zoom Between Cursors
Delete Active (Cursor Menu)
Use the Cursor > Delete Active menu item to remove the active cursor in the active graph
window. If there were multiple cursors prior to the delete, then the cursor that was closest to the
one being deleted will become the next active cursor.
Shortcuts
See Also
Add Cursor
Add Cursor with Y-Level
Toolbar
EZwave Users and Reference Manual, 2009.2 264
Menus
Cursor Menu
Cursor List
Lock Cursors
Move Cursor to Next Data Point
Move Cursor to Previous Data Point
Zoom Between Cursors
Lock Together When Dragging (Cursor Menu)
The Cursor > Lock Together When Dragging menu item connects all cursors so that when
one is moved, all other cursors move the same amount. When cursors are locked, a checkmark
appears next to the item.
Shortcuts
See Also
Add Cursor
Add Cursor with Y-Level
Cursor List
Delete Cursor
Move Cursor to Next Data Point
Move Cursor to Previous Data Point
Zoom Between Cursors
Next Data Point (Cursor Menu)
Use the Cursor > Next Data Point menu item to move the active cursor to the next data point
in the active graph window. The display will scroll, if necessary, to advance to the next point. If
there are multiple waveforms in the active graph window, you must first select the waveform
whose data should be used when looking for the next data point.
Toolbar
Menus
Cursor Menu
EZwave Users and Reference Manual, 2009.2 265
Shortcuts
See Also
Add Cursor
Add Cursor with Y-Level
Cursor List
Delete Cursor
Lock Cursors
Move Cursor to Previous Data Point
Zoom Between Cursors
Next Error (Cursor Menu)
Use the Cursor > Next Error menu item to move the active cursor to the next error in the
waveform, based on time. When applied to a waveform comparison result, the cursor is moved
to the next difference between the compared waveforms.
Shortcuts
See Also
Add Cursor
Add Cursor with Y-Level
Cursor List
Delete Cursor
Lock Cursors
Move Cursor to Previous Error
Keys Tab
Toolbar
Toolbar
EZwave Users and Reference Manual, 2009.2 266
Menus
Cursor Menu
Zoom Between Cursors
Previous Data Point (Cursor Menu)
Use the Cursor > Previous Data Point menu item to move the active cursor back to the
previous data point in active graph window. The display will scroll, if necessary, to advance to
the previous point. If there are multiple waveforms in the graph window, you must first select
the waveform whose data should be used when looking for the previous data point.
Shortcuts
See Also
Add Cursor
Add Cursor with Y-Level
Cursor List
Delete Cursor
Lock Cursors
Move Cursor to Next Data Point
Zoom Between Cursors
Previous Error (Cursor Menu)
Use the Cursor > Next Error menu item to move the active cursor to the previous error in
the waveform, based on time. When applied to a waveform comparison result, the cursor is
moved to the previous difference between the compared waveforms.
Keys Shift + Tab
Toolbar
Menus
Window Menu
EZwave Users and Reference Manual, 2009.2 267
Shortcuts
See Also
Add Cursor
Add Cursor with Y-Level
Cursor List
Delete Cursor
Lock Cursors
Move Cursor to Previous Error
Zoom Between Cursors
Zoom Between Cursors (Cursor Menu)
The Cursor > ZoomBetween Cursors menu item adjusts the display to view between the two
cursors that are farthest apart.
See Also
Add Cursor
Add Cursor with Y-Level
Cursor List
Delete Cursor
Lock Cursors
Move Cursor to Next Data Point
Move Cursor to Previous Data Point
Window Menu
New
This menu item is identical to the New item in the File menu.
Toolbar
EZwave Users and Reference Manual, 2009.2 268
Menus
Window Menu
Title
Opens a dialog box where you can specify a title for the current graph window. Leave the text
field empty to remove the current title.
Cascade
Arranges the graph windows in an overlapped (cascade) fashion.
Tile Horizontally
Arranges the graph windows in a tiled (left/right) fashion.
Tile Vertically
Arranges the graph windows in a tiled (top/bottom) fashion.
Tile in a Grid
Arranges the graph windows in a tiled (left/right and top/bottom) fashion.
Restore All
Restore all currently minimized graph windows.
Minimize All
Minimizes all graph windows on the active workspace.
Close All
Closes all graph windows in the active workspace.
Window List
At the bottom of the menu is a list of all graph windows on all workspaces. Selecting one of
these will switch to the workspace containing the window, and restore the window if it was
minimized.
More Windows
Provides a secondary list for selection.
Menus
Popup Menus
EZwave Users and Reference Manual, 2009.2 269
Popup Menus
Graph Window Popup Menus
The Graph Window provides a variety of popup menus. Right-click any waveform to display
the popup menu that is specific for the waveform.
Figure 10-3. Graph Window Popup Menus
To activate the popup menus in the graph window, right-click window objects as follows:
Table 10-4. Right-Click Popup Menus
Right-Click Object Popup Menu
Graph Window Graph Window Popup Menu
Row Row Popup Menu
EZwave Users and Reference Manual, 2009.2 270
Menus
Popup Menus
Graph Window Popup Menu
The Graph Window popup menu (available from the Graph Window) offers the following
items:
Row Popup Menu
The Row popup menu (available from the Graph Window) offers the following items:
Waveform or Waveform Name Waveform Popup Menu
X Axis or Y Axis Axis Popup Menu
Cursor Value Cursor Value Popup Menu
Table 10-5. Graph Window Popup Menu Items
Icon Item Description
Title Specify a title for the active graph window.
Same as Window > Title menu item.
Fit Row Heights Changes the row heights in the active
graph windowin order to see as many rows
as possible. Item also available from the
View menu.
Copy Window to
Clipboard
Copies the graph window to the Windows
Clipboard as a bitmap for paste as a bitmap
image file. Item also available from the
Edit menu. (Available only on Windows
platform.)
Paste Inserts the contents fromthe clipboard into
the active graph window at the location of
the mouse pointer when the menu was
activated. Same as Edit > Paste menu item.
Close Closes the active graph window. Same as
File > Close menu item.
Table 10-6. Row Popup Menu Items
Icon Item Description
Zoom In Zooms in to view more detail in the X
direction. Same as the View > Zoom In
menu item.
Table 10-4. Right-Click Popup Menus
Menus
Popup Menus
EZwave Users and Reference Manual, 2009.2 271
To set options related to row displays, choose Row from the list in the EZwave Display
Preferences dialog box.
Zoom Out Zooms out to view less detail in the X
direction. Same as the View > Zoom Out
menu item.
View All Adjusts the display to view all of the X and
Y waveform data for all waveforms
contained in the row. Item also available
from the View menu.
To New Window Opens a new window displaying the
selected row.
Split Available only if the row contains multiple
waveforms. Splits the waveforms into one
individual row per waveform.
Grid Lines Available only if the row contains analog
waveforms. Toggles the display of
horizontal and vertical grid lines for all
axes within the row. Same as the View >
Grid Lines menu item.
Add Cursor Creates a new data cursor at the location of
the mouse pointer. Same as the Cursor >
Add menu item.
Row Title... Brings up a dialog box that allows you to
enter a title that is displayed above the row.
The title has a maximum length of 32
characters.
Row Height Available only if the row contains analog
waveforms. Offers options for changing
the height of the row:
Minimum - smallest allowed height for
rows containing analog waveforms.
Maximum - adjusts height so the row fills
available vertical space in the window.
Default - adjusts height back to the default.
Paste Inserts the contents fromthe clipboard into
the row. Same as the Edit > Paste menu
item.
Table 10-6. Row Popup Menu Items (cont.)
EZwave Users and Reference Manual, 2009.2 272
Menus
Popup Menus
Axis Popup Menu
The X and Y Axis popup menu (available from the Graph Window) contains the following
items:
Table 10-7. Axis Popup Menu Items
Icon Item Description
Zoom Range X
Zoom Range Y
Opens the X/Y Axis Min/Max dialog box. Use to
zoom between two locations on the axis.
Zoom In X
Zoom In Y
Zooms in to view more detail for the waveforms
on the axis.
Zoom Out X
Zoom Out Y
Zooms out to view less detail for the waveforms
on the axis.
View All X
View All Y
Adjusts the display to view all of the waveform
data for all waveforms on the axis.
Scroll to X (X axis only) Brings up the Scroll X Dialog box.
Moves to a new X location.
Grid Lines Available only if the row contains analog
waveforms. Toggles the display of either the
horizontal (X) or vertical (Y) grid lines for the
axis. Same as the View > Grid Lines menu item.
Add Cursor (X axis only) Creates a new data cursor in the
center of the active graph window.
Zero-Level Line (Y axis only) Toggles the visibility of a reference
line which is shown at the zero-level location.
Hide Axis Toggles the visibility of the axis. When an axis is
hidden, it is temporarily removed from the graph
window, and all waveforms contained on the axis
are also temporarily removed. This item is only
enabled for rows containing multiple Y axes.
Paste Inserts the clipboard contents onto the axis. The
result of the paste differs based on the type of axis:
For Y axes: the paste will use this Y axis if the
data type matches the waveforms being pasted;
otherwise new Y axes will be created within the
same row.
For X axes: the paste is only permitted if the data
type of the waveforms being pasted matches the X
data type for the graph window.
Menus
Popup Menus
EZwave Users and Reference Manual, 2009.2 273
Cursor Value Menu
The Cursor Value popup menu (available from the Graph Window) contains the following
items:
Figure 10-4. Cursor Value Popup Menu
The Cursor Value popup menu for compound waveforms contains the following additional
items:
Properties Opens the Axis Properties dialog box.
Table 10-8. Cursor Value Popup Menu Items
Item Description
Hide Value Temporarily hides the cursor data value. To show the
cursor data value, right-click the cursor and select Data
Values > Show All.
Y-Level Line Displays a line across the graph window showing the Y
value.
"Base" Y-Level Line Selects the current Y-level line as the "base." Delta-y
values for waveforms that intersect this cursor are based
on this line.
Copy Value to Clipboard Copies the full precision value to the clipboard.
Table 10-7. Axis Popup Menu Items (cont.)
EZwave Users and Reference Manual, 2009.2 274
Menus
Popup Menus
Figure 10-5. Cursor Value Popup Menu (Compound Waveforms)
Waveform List Panel Popup Menus
The Waveform List Panel has several popup menus that provide quick access to a variety of
database and waveform operations. Use the right button on your mouse to activate the popup
menus.
Waveform List Popup Menu
Figure 10-6. Waveform List Popup Menu
Table 10-9. Cursor Value Popup Menu Items (Compound Waveforms)
Item Description
Hide Other Values Hides values of other elements of the waveform.
Show Other Values Displays values for other elements of the waveform.
Show/Hide... Opens Cursor Value popup menu.
Menus
Popup Menus
EZwave Users and Reference Manual, 2009.2 275
Right-click the Currently Open Databases text (or anywhere within the panel except over a
wdb name or waveform name) to display this popup menu. Items available from this menu are
as follows:
Note
The Database list only displays waveformdatabase files. To open the other supported file
types, use the File > Open menu item.
Note
You can control the visibility of the Database list items by choosing General fromthe list
in the EZwave Display Preferences dialog box.
Table 10-10. Waveform List Popup Menu Items
Item Description
Open Same as the File > Open menu item to open any supported file type.
Expand All Expands all currently open databases.
Collapse All Collapses all currently expanded waveform databases. If the display is
currently collapsed, the item is unavailable and appears dimmed.
Close All
Databases
Closes all currently loaded databases. A confirmation box will be shown
to prevent accidental closures.
Save All
Databases
Opens the Save Databases dialog box to allow saving all databases that
contain unsaved changes.
Most
Recently
Used
(1,2,3,4,0)
Database
List
Same as the File > Database List menu item for opening previously
opened waveform databases (See notes). Click 0 More Databases to
open an additional window with older databases for selection.
Hide
Waveform
List
Temporarily hides the Waveform List Panel. To show the panel again,
use the View > Waveform List menu item or the toggle toolbar button.
EZwave Users and Reference Manual, 2009.2 276
Menus
Popup Menus
Database Popup Menu
Figure 10-7. Database Popup Menu
Right-click the Database name to display this popup menu. Items available from this menu are
as follows:
Table 10-11. Database Popup Menu Items
Item Description
Update Waveforms Updates the active graph window using the waveforms
from this database. Only waveforms in the graph window
that match the names within the database are updated. The
update can either replace the existing waveforms, or they
can be shown overlaid with the matching waveforms from
the database.
Plot (Overlaid) Plots all waveforms at this level within a single row at the
bottom of the active graph window. A new graph window
is created if one doesn't already exist.
Plot (Stacked) Plots all waveforms at this level in separate rows at the
bottom of the active graph window. A new graph window
is created if one doesn't already exist.
Plot All (Overlaid) Plots all waveforms in the database within a single row at
the bottom of the active graph window. A new graph
window is created if one doesn't already exist.
Plot All (Stacked) Plots all waveforms in the database in separate rows at the
bottom of the active graph window. A new graph window
is created if one doesn't already exist.
Rename Opens a dialog box to rename the database.
Menus
Popup Menus
EZwave Users and Reference Manual, 2009.2 277
Folder Popup Menu
Right-click a folder in a database to display this popup menu. Items available from this menu
are as follows:
Descriptive Label... Opens a dialog box to add a descriptive text label. This
label appears next to the database name.
Save As... Opens a dialog box for saving the selected databases to
disk.
Close Closes the selected databases. If a database contains
unsaved changes or has waveforms currently plotted in
one or more graph windows, a confirmation box is
displayed.
Expand Selected Expands the selected database.
Collapse Selected Collapses the selected database.
Properties Displays an information box containing properties
associated with the waveform database.
Table 10-12. Folder Popup Menu Items
Item Description
Update Waveforms Updates the active graph window using the waveforms
from this database. Only waveforms in the graph window
that match the names within the database are updated. The
update can either replace the existing waveforms, or they
can be shown overlaid with the matching waveforms from
the database.
Plot (Overlaid) Plots all waveforms at this level within a single row at the
bottom of the active graph window. A new graph window
is created if one doesn't already exist.
Plot (Stacked) Plots all waveforms at this level in separate rows at the
bottom of the active graph window. A new graph window
is created if one doesn't already exist.
Plot All (Overlaid) Plots all waveforms in the database within a single row at
the bottom of the active graph window. A new graph
window is created if one doesn't already exist.
Plot All (Stacked) Plots all waveforms in the database in separate rows at the
bottom of the active graph window. A new graph window
is created if one doesn't already exist.
Expand Selected Expands the selected database.
Collapse Selected Collapses the selected database.
Table 10-11. Database Popup Menu Items (cont.)
EZwave Users and Reference Manual, 2009.2 278
Menus
Popup Menus
Hierarchy Popup Menu
Right-click a hierarchy inside a folder to display this popup menu. Items available from this
menu are as follows:
Waveform Popup Menu (Waveform List Panel)
Figure 10-8. Waveform Popup Menu (Waveform List Panel)
Right-click the Waveform name to display this popup menu. Items available from this menu
are as follows:
Table 10-13. Hierarchy Popup Menu Items
Item Description
Plot (Overlaid) Plots all waveforms at this level within a single row at the
bottom of the active graph window. A new graph window
is created if one doesn't already exist.
Plot (Stacked) Plots all waveforms at this level in separate rows at the
bottom of the active graph window. A new graph window
is created if one doesn't already exist.
Plot All (Overlaid) Plots all waveforms in the database within a single row at
the bottom of the active graph window. A new graph
window is created if one doesn't already exist.
Plot All (Stacked) Plots all waveforms in the database in separate rows at the
bottom of the active graph window. A new graph window
is created if one doesn't already exist.
Expand Selected Expands the selected database.
Collapse Selected Collapses the selected database.
Table 10-14. Waveform Popup Menu Items (Waveform List Panel)
Item Description
Plot Plots the waveform in a new row at the bottom of the
active graph window. A new graph window is created if
one doesn't already exist.
Menus
Popup Menus
EZwave Users and Reference Manual, 2009.2 279
Waveform Popup Menus
The Waveform popup menus (available from the Graph Window) display different menu items
based on the type of waveform selected.
Rename Opens a dialog box to rename the waveform.
Save As Opens a dialog box to save a copy of the waveform (with
options to overwrite the current waveform).
Copy Waveform Name(s) Copies the selected waveform's name to the clipboard.
Table 10-15. Waveform Popup Menu Items
Item Description
Transformations Is available only if the waveform contains complex data
(for example, from a frequency domain analysis).
Changes the transformation that is applied to the
underlying complex data.
Radix Is available only if the waveform supports radix display,
which would be digital buses or waveforms containing
integer data. Changes the radix that is used when
displaying the waveform. If multiple buses are selected at
once, this change applies to all selected buses.
Data Point Symbols Is available only for analog waveforms. Toggles the
visibility of graphic symbols, shown at each data point.
Hide Waveform Toggles the visibility of the waveform. The waveform
name remains visible.
Annotations Options to create, show, or hide text annotations.
Set as X Axis Sets currently selected waveform as a new X axis.
Move to New Y Axis Is available only for analog waveforms. The item is
disabled if the waveform is the only one on the Y axis
containing it. The result of this operation is the creation of
a new Y axis within the row, with the waveformmoved to
the new axis.
Circle Visibility... Is available only for Smith Chart circle plots. Allows the
user to select which circles are visible.
Drawing Mode Displays the waveformin one of five modes: Continuous,
Sampled, Scattered, Spectral, or Railroad.
Add Cursor
Adds a new data cursor at the mouse pointer location.
Table 10-14. Waveform Popup Menu Items (Waveform List Panel) (cont.)
EZwave Users and Reference Manual, 2009.2 280
Menus
Popup Menus
Workspace Popup Menu
Right-click the workspace area to display the Workspace popup menu. Items available fromthis
menu are as follows:
Cut
Removes the waveform and places it on the clipboard.
Copy
Places a duplicate of the waveform on the clipboard.
Paste
Inserts the contents of the clipboard into the active graph
window within the current row.
Delete
Removes the waveform from the active graph window.
Properties Opens the Waveform Properties dialog box.
Table 10-16. Workspace Popup Menu Items
Item Description
New Creates a new workspace.
Rename Opens a dialog box to give the active workspace a user
defined name.
Delete Removes the currently active workspace.
NOTE: This item appears dimmed (unavailable) if there
is only one workspace.
Shift Left Moves the currently active workspace tab one position to
the left.
NOTE: This item appears dimmed (unavailable) if the
currently active workspace's tab is the leftmost tab.
Shift Right Moves the workspace tab one position to the right.
NOTE: This item appears dimmed (unavailable) if the
currently active workspace's tab is the rightmost tab.
Reorder Opens the Reorder Workspaces dialog box, from which
you can interactively set the order of all workspaces.
Paste Creates a new graph window in the workspace and pastes
the contents of the clipboard in the graph window.
NOTE: This item appears dimmed (unavailable) if the
clipboard is empty.
Table 10-15. Waveform Popup Menu Items (cont.)
Menus
Popup Menus
EZwave Users and Reference Manual, 2009.2 281
Options... Displays the Workspace Tab of the EZwave Display
Preferences dialog box. Use this tab to define how the
workspaces are displayed in the application window.
You may also set the application to support a single or
multiple workspaces from this dialog box.
Table 10-16. Workspace Popup Menu Items
EZwave Users and Reference Manual, 2009.2 282
Menus
Popup Menus
EZwave Users and Reference Manual, 2009.2 283
Chapter 11
Dialog Boxes
Add Clock
The Add Clock dialog box defines clocks for clocked comparison. It is accessed by clicking
Addor Modifyfrom the Clocks dialog box.
Figure 11-1. Add Clocks Dialog Box
The following describe the fields in the Add Clock dialog box:
Clock Name
Specifies an identifying name for the clock.
Delay Signal Offset
Specifies a delay in the signal comparison. The default is 0 (no delay).
Based on Signal
Specifies the waveform from which to base the clock signal.
Compare Strobe Edge
Specifies that the clock signals are based on only rising edges, falling edges, or both.
The default is rising only.
EZwave Users and Reference Manual, 2009.2 284
Dialog Boxes
Add More Waveforms
Add More Waveforms
The Add More Waveforms dialog box is one of the pages in the WaveformCompare Wizard. It
appears after youve added waveforms or hierarchies for comparison (it does not appear if you
chose to compare all waveforms).
Select Yes to return to the Comparison Method page of the Waveform Compare Wizard. Select
No to move on the next page in the Waveform Compare Wizard.
Figure 11-2. Add More Waveforms Page of the Waveform Compare Wizard
Auto Correlation
The Auto Correlation dialog box specifies the parameters for the autocorrelation function
used in the Waveform Calculator.
This dialog box accesses the autocorrelation function (AF) of a signal waveform. The AF is
an average measure of its time domain properties; as such, it can be especially relevant when the
signal is random.
Two methods are available for calculating this quantity. The Correlogram Method means that
the FFT is used directly to compute estimates of the AF Rxx(n) for N
auto
lags, where 2 x N
auto
is the size of the transform used. The Periodogram Method means that a sliding FFT is used to
compute estimates of the PSD directory rather than estimating an AF.
Dialog Boxes
Auto Correlation
EZwave Users and Reference Manual, 2009.2 285
Correlogram Method
This method uses the FFT directly to compute estimates of the autocorrelation function Rxx(n)
N
auto
lags, where 2 x N
auto
is the size of the transform used. For this, the program divides the
sampled input data set into K = (Nbpts + N
auto
) / (N
auto
+ 1) sections and the FFT routine
(2 x (N
auto
-1) points) is performed once on each section with the appropriate input data. The
autocorrelation result is then computed by performing an Inverse FFT (2 x (N
auto
-1) points)
after accumulating the partial results.
At this point, a spectral estimate with any desired degree of frequency resolution can be
obtained by augmenting the just computed R(n) or the first Ncorr N
auto
values with zeros and
performing a single FFT (2 x (N
psd
- 1) points).
Figure 11-3. Correlogram Method
In order to avoid the undesired effects of truncating data records in the PSD result, it is possible
to apply different kinds of smoothing windows on the autocorrelation result. Refer to the
Windowing Transform Dialog Box for more details about these options.
Periodogram Method
This method, uses a sliding FFT to compute estimates of the PSD directly rather than estimating
an autocorrelation function as in the Correlation method. The given sequence X(m), m=0, ...,
Nbpts 1 is first decomposed into subsequences Xr(m) of length Nsect with overlapping D =
Nsect/2. Each of them is shifted by the arithmetic mean of all the data.
1
where k = (Nbpts - D)/D is the number of sequences.
On each section, a window is then applied and the PSD is computed (FFT with 2 x (N
auto
1)
points) as a weighted sum of their periodograms (= | X
W
r(k)|
2
energy_of_window).
1. The subtraction of xmean is implemented in order that the autocorrelation result is centered around y = 0.
This useful when using non-rectangular waveforms.
Correlogram
Method
W(n) if desired FFT
x(m) Rxx(n) Rwxx(n) Sxx(K)
m = 0, Nbpts 1 n = 0, , N
auto
1
K = 0, , N
psd
1
Input Data
Auto Correlation
Result
Windowing
Xr m ( ) x m r 1 ( )D + ( ) xmean r 1 ..., k , = =
EZwave Users and Reference Manual, 2009.2 286
Dialog Boxes
Auto Correlation
The inverse FFT (2 x (Nauto 1) points) is now used to estimate the autocorrelation function
from the PSD result.
Figure 11-4. Periodogram Method
If the user wishes to have more values for the PSD result than computed by default, an
additional FFT (2 x (N
psd
1) points) is executed on a sequence, generated by the first N
corr
autocorrelation values and a suitable number of zeros.
Decomposition in
subsequences & IFFT
x (m)
m = 0, Nbpts 1 n = 0, , N
auto
1
Input Data Windowed
Subsequences
PSD
windowing (if desired)
FFTs for r = 1, , k
& weighted sum of all
periodograms
{X
w
r (m)} Sxx (l) Rxx (n)
Autocorrelation
Result
r = 0, , k l = 0, Nbpts 1
Dialog Boxes
Auto Correlation
EZwave Users and Reference Manual, 2009.2 287
Auto Correlation Fields
Figure 11-5. Auto Correlation Dialog Box
The following describes the fields of the Auto Correlation dialog box:
Source Waveform: Specifies the source waveform. Use the Add Selected Waveform
button to add the currently selected waveform. Use the Clear Waveform List
button to remove all waveforms in the list.
EZwave Users and Reference Manual, 2009.2 288
Dialog Boxes
Auto Correlation
Output Waveform
o Auto Correlation Name: Specifies the name for the AF output waveform.
o Computation Method: Specifies whether the Correlogram Method or Periodogram
Method is to be used for calculating the AF.
Parameter Setup
o Start time: Specifies the start time for the signal.
o Stop time: Specifies the stop time for the signal.
o Sampling frequency: Specifies the sampling frequency.
o Number of Points: Specifies the number of sampling points.
o Number of Points by Section: Specifies the number of points for the output
waveform.
o Number of Points for Auto Correlation Result: Specifies the number of points in
the AF result (N
auto
).
o Number of Auto Correlation Points: Specifies the number of autocorrelation
points used for the PSD computation.
o Number of Points for PSD result: Specifies the number of points for the PSD
result.
Advanced >>: Toggles whether the advanced options are shown. The following fields
are shown when the advanced options are visible:
o Output Options:
Reference Frequency: Option for the FFT used in calculating the auto
correlation. Adjusts results around the Y-axis so that the point for the specified
reference frequency is 0.0.
Minimum Frequency: Option for the FFT used in calculating the auto
correlation. Specifies the starting frequency used to display the FFT results.
Maximum Frequency: Option for the FFT used in calculating the auto
correlation. Specifies the last frequency used to display the FFT results.
Normalize Results: Specifies that all results are divided by (Number of
Points)/2, except for the first point, which is divided by Number of Points.
o Improving FFT Results
Windowing: Specifies a windowing transform to apply to the output
waveform(s). Refer to the Windowing Transform Dialog Box for more details
about these options.
Dialog Boxes
Auto Correlation and Power Spectral Density Dialog Box
EZwave Users and Reference Manual, 2009.2 289
Use Data Points should be selected as default if the input data has equidistant
Time Steps; otherwise, Uniform Sampling should be selected.
Pad with Zeros: Select this option to allow padding with zeros.
Auto Correlation and Power Spectral Density
Dialog Box
The Auto Correlation and Power Spectral Density dialog box specifies the parameters for the
autocorrelation and psd functions used in the Waveform Calculator.
This dialog box accesses two different but related functions: calculating the autocorrelation
function (AF) of a signal waveform, and calculating the power spectral density (PSD) of a
signal waveform. The AF is an average measure of its time domain properties; as such, it can be
especially relevant when the signal is random.
Two methods are available for calculating these quantities. The Correlogram Method means
that the FFT is used directly to compute estimates of the AF Rxx(n) for N
auto
lags, where
2xN
auto
is the size of the transform used. The Periodogram Method means that a sliding FFT is
used to compute estimates of the PSD directory rather than estimating an AF.
Correlogram Method
This method uses the FFT directly to compute estimates of the autocorrelation function Rxx(n)
N
auto
lags, where 2 x N
auto
is the size of the transform used. For this, the program divides the
sampled input data set into K = (Nbpts + N
auto
) / (N
auto
+ 1) sections and the FFT routine
(2 x (N
auto
-1) points) is performed once on each section with the appropriate input data. The
autocorrelation result is then computed by performing an Inverse FFT (2 x (N
auto
-1) points)
after accumulating the partial results.
At this point, a spectral estimate with any desired degree of frequency resolution can be
obtained by augmenting the just computed R(n) or the first Ncorr N
auto
values with zeros and
performing a single FFT (2 x (N
psd
- 1) points).
Figure 11-6. Correlogram Method
Correlogram
Method
W(n) if desired FFT
x(m) Rxx(n) Rwxx(n) Sxx(K)
m = 0, Nbpts 1 n = 0, , N
auto
1
K = 0, , N
psd
1
Input Data
Auto Correlation
Result
Windowing
EZwave Users and Reference Manual, 2009.2 290
Dialog Boxes
Auto Correlation and Power Spectral Density Dialog Box
In order to avoid the undesired effects of truncating data records in the PSD result, it is possible
to apply different kinds of smoothing windows on the autocorrelation result. Refer to the
Windowing Transform Dialog Box for more details about these options.
Periodogram Method
This method, uses a sliding FFT to compute estimates of the PSD directly rather than estimating
an autocorrelation function as in the Correlation method. The given sequence X(m), m=0, ...,
Nbpts 1 is first decomposed into subsequences Xr(m) of length Nsect with overlapping D =
Nsect/2. Each of them is shifted by the arithmetic mean of all the data.
1
where k = (Nbpts - D)/D is the number of sequences.
On each section, a window is then applied and the PSD is computed (FFT with 2 x (N
auto
1)
points) as a weighted sum of their periodograms (= | X
W
r(k)|
2
energy_of_window).
The inverse FFT (2 x (Nauto 1) points) is now used to estimate the autocorrelation function
from the PSD result.
Figure 11-7. Periodogram Method
If the user wishes to have more values for the PSD result than computed by default, an
additional FFT (2 x (N
psd
1) points) is executed on a sequence, generated by the first N
corr
autocorrelation values and a suitable number of zeros.
1. The subtraction of xmean is implemented in order that the autocorrelation result is centered around y = 0.
This useful when using non-rectangular waveforms.
Xr m ( ) x m r 1 ( )D + ( ) xmean r 1 ..., k , = =
Decomposition in
subsequences & IFFT
x (m)
m = 0, Nbpts 1 n = 0, , N
auto
1
Input Data Windowed
Subsequences
PSD
windowing (if desired)
FFTs for r = 1, , k
& weighted sum of all
periodograms
{X
w
r (m)} Sxx (l) Rxx (n)
Autocorrelation
Result
r = 0, , k l = 0, Nbpts 1
Dialog Boxes
Auto Correlation and Power Spectral Density Dialog Box
EZwave Users and Reference Manual, 2009.2 291
Auto Correlation and Power Spectral Density Fields
Figure 11-8. Auto Correlation and Power Spectral Density Dialog Box
The following describes the fields of the Auto Correlation and Power Spectral Density dialog
box:
EZwave Users and Reference Manual, 2009.2 292
Dialog Boxes
Auto Correlation and Power Spectral Density Dialog Box
Source Waveform: Specifies the source waveform. Use the Add Selected Waveform
button to add the currently selected waveform. Use the Clear Waveform List
button to remove all waveforms in the list.
Output Waveform
o Auto Correlation Name: Specifies the name for the AF output waveform.
o Computation Method: Specifies whether the Correlogram Method or Periodogram
Method is to be used for calculating the AF.
Parameter Setup
o Start time: Specifies the start time for the signal.
o Stop time: Specifies the stop time for the signal.
o Sampling frequency: Specifies the sampling frequency.
o Number of Points: Specifies the number of sampling points.
o Number of Points by Section: Specifies the number of points for the output
waveform.
o Number of Points for Auto Correlation Result: Specifies the number of points in
the AF result (N
auto
).
o Number of Auto Correlation Points: Specifies the number of autocorrelation
points used for the PSD computation.
o Number of Points for PSD result: Specifies the number of points for the PSD
result.
Advanced >>: Toggles whether the advanced options are shown. The following fields
are shown when the advanced options are visible:
o Output Options:
Reference Frequency: Option for the FFT used in calculating the auto
correlation. Adjusts results around the Y-axis so that the point for the specified
reference frequency is 0.0.
Minimum Frequency: Option for the FFT used in calculating the auto
correlation. Specifies the starting frequency used to display the FFT results.
Maximum Frequency: Option for the FFT used in calculating the auto
correlation. Specifies the last frequency used to display the FFT results.
Normalize Results: Specifies that all results are divided by (Number of
Points)/2, except for the first point, which is divided by Number of Points.
o Improving FFT Results
Dialog Boxes
Axis - Properties
EZwave Users and Reference Manual, 2009.2 293
Windowing: Specifies a windowing transform to apply to the output
waveform(s). Refer to the Windowing Transform Dialog Box for more details
about these options.
Use Data Points should be selected as default if the input data has equidistant
Time Steps; otherwise, Uniform Sampling should be selected.
Pad with Zeros: Select this option to allow padding with zeros.
Axis - Properties
Right-click either the X or Y axis to display the popup menu. From this menu the Properties
menu item opens the Axis Properties dialog box. Set the following from this dialog box:
Axis Range
This section controls the visible data range for the axis. To have the axis show all of the data,
select the Auto Range option. Otherwise, enter the exact minimum and maximum values to be
viewed on the axis.
Axis Title
This section controls the appearance of the axis title. Turning off the Display Title option will
remove the title from the axis. Turning off the Display Units option will show the title without
the units. A custom title string can be entered into the Text entry box. Click the Default button
to set the entry box with the default axis title.
Axis Units
This section controls the units (for example s, ms, ns, etc.) that are shown on the axis. The Unit
Type list contains the available units for the axis. The Scaling entry field controls the scale
factor applied to the axis labels. An example of where using a scaling value other than "1.0"
would be useful, would be a waveform with data that repeats at a regular interval. For example,
if a waveform has data that repeats every 11 nanoseconds (11ns, 22ns, 33ns...), then setting the
scaling value to "11.0" would result in axis labels of 1, 2, 3. The Default button is used to set
both the Unit Type and Scaling values to the current settings controlled through the Data Format
area of the Edit > Options dialog box.
Axis Scale
This section controls how the axis labels will be scaled (either linearly or logarithmically).
Select the button corresponding to the scaling that should be used.
EZwave Users and Reference Manual, 2009.2 294
Dialog Boxes
Axis Title (Fonts and Colors)
Additional Settings
Display Grid Lines - Toggles visibility of the axis grid lines.
Display Zero-Level Line - (Y axis only) Toggles visibility of a reference line shown at the
zero-level location.
Hide Axis - (Y axis only) Toggles whether the axis is hidden. This option is only enabled in
rows containing multiple Y axes.
See Also
Edit Options Dialog Box - Data Format
X/Y Axis Min/Max Settings
Axis Properties
Axis Title (Fonts and Colors)
You can modify the font and color of axis titles in EZwave graph windows. Use the
Edit > Options menu item to open the EZwave Display Preferences dialog box. Expand the
Fonts and Colors folder in the list on the left side of the dialog box to display a list of the
elements that you can customize. Click on Axis Title in the list to display the font and color
options.
Available fonts will vary from system to system, depending on what's installed. To use a new
font in EZwave, a system administrator must add the font to the system as well as the
font.properties file of the java package.
Note
Saving EZwave files only preserves font changes within the same work environment.
This is because fonts (type, size, and style) vary among different work environments.
Color changes are not affected by different systems and are preserved when saving.
The following describe the fields of the Axis Title dialog box:
Font: Select an available font from this dropdown list.
Font Size: Select a font point size from this dropdown list.
Font Style: This controls the font style of axis titles.
Color: Click on the colored box next to Color to open the Color Selection dialog box.
Choose a color and click OK to accept the color and return to the Axis Title dialog box.
Preview: This area displays a sample of what axis titles will look like with the current
selections.
Dialog Boxes
Axis Values (Fonts and Colors)
EZwave Users and Reference Manual, 2009.2 295
Default: Click the Default button to restore the font and color of the axis titles to the
default settings.
See Also
Axis Values (Fonts and Colors)
You can modify the font and color of axis values in EZwave graph windows. Use the
Edit > Options menu item to open the EZwave Display Preferences dialog box. Expand the
Fonts and Colors folder in the list on the left side of the dialog box to display a list of the
elements that you can customize. Click on Axis Values in the list to display the font and color
options.
Available fonts will vary from system to system, depending on what's installed. To use a new
font in EZwave, a system administrator must add the font to the system as well as the
font.properties file of the java package.
Note
Saving EZwave files only preserves font changes within the same work environment.
This is because fonts (type, size, and style) vary among different work environments.
Color changes are not affected by different systems and are preserved when saving.
The following describe the fields of the Axis Values dialog box:
Font: Select an available font from this dropdown list.
Font Size: Select a font point size from this dropdown list.
Font Style: This controls the font style of axis values.
Color: Click on the colored box next to Color to open the Color Selection dialog box.
Choose a color and click OK to accept the color and return to the Axis Values dialog
box.
Axis Title (Fonts and Colors) Histogram (Fonts and Colors)
Axis Values (Fonts and Colors) Measurement Annotation (Fonts and Colors)
Axis Values (Smith Chart - Fonts and Colors) Text Annotation (Fonts and Colors)
Calculator Entry (Fonts and Colors) Waveform Colors (Fonts and Colors)
Cursor/Marker (Fonts and Colors) Waveform Name (Fonts and Colors)
Grid (Fonts and Colors) Window Background (Fonts and Colors)
Header Text (Fonts and Colors) Zero-Level Line (Fonts and Colors)
EZwave Users and Reference Manual, 2009.2 296
Dialog Boxes
Axis Values (Smith Chart - Fonts and Colors)
Preview: This area displays a sample of what axis values will look like with the current
selections.
Default: Click the Default button to restore the font and color of the axis values to the
default settings.
See Also
Axis Values (Smith Chart - Fonts and Colors)
You can modify the font and color of axis values used in Smith Charts. Use the Edit > Options
menu item to open the EZwave Display Preferences dialog box. Expand the Fonts and Colors
folder in the list on the left side of the dialog box to display a list of the elements that you can
customize. Click on Axis Values (Smith Chart) in the list to display the font and color options.
Available fonts will vary from system to system, depending on what's installed. To use a new
font in EZwave, your system administrator must add the font to the system as well as the
font.properties file of the java package.
Note
Saving EZwave files only preserves font changes within the same work environment.
This is because fonts (type, size, and style) vary among different work environments.
Color changes are not affected by different systems and are preserved when saving.
The following describe the fields of the Axis Values (Smith Chart) dialog box:
Font: Select an available font from this dropdown list.
Font Size: Select a font point size from this dropdown list.
Font Style: This controls the font style of axis values for Smith Charts.
Real Values: This controls the color of the real axis values when displaying a Smith
Chart. Click on the colored box next to Real Values to open the Color Selection dialog
Axis Title (Fonts and Colors) Histogram (Fonts and Colors)
Axis Values (Fonts and Colors) Measurement Annotation (Fonts and Colors)
Axis Values (Smith Chart - Fonts and Colors) Text Annotation (Fonts and Colors)
Calculator Entry (Fonts and Colors) Waveform Colors (Fonts and Colors)
Cursor/Marker (Fonts and Colors) Waveform Name (Fonts and Colors)
Grid (Fonts and Colors) Window Background (Fonts and Colors)
Header Text (Fonts and Colors) Zero-Level Line (Fonts and Colors)
Dialog Boxes
Calculator Entry (Fonts and Colors)
EZwave Users and Reference Manual, 2009.2 297
box. Choose a color and click OK to accept the color and return to the Axis Values
(Smith Chart) dialog box.
Imag Values: This controls the color of the imaginary axis values when displaying a
Smith Chart. Click on the colored box next to Imag Values to open the Color Selection
dialog box. Choose a color and click OK to accept the color and return to the Axis
Values (Smith Chart) dialog box.
Preview: This area displays a sample of what axis values in Smith Charts will look like
with the current selections.
Default: Click the Default button to restore the font and color of the axis values in
Smith Charts to the default settings.
See Also
Calculator Entry (Fonts and Colors)
You can modify the font size of entries in the Waveform Calculator. Use the Edit > Options
menu item to open the EZwave Display Preferences dialog box. Expand the Fonts and Colors
folder in the list on the left side of the dialog box to display a list of the elements that you can
customize. Click on Calculator Entry in the list to display the font size option.
Note
Saving EZwave files only preserves font changes within the same work environment.
This is because fonts (type, size, and style) vary among different work environments.
Color changes are not affected by different systems and are preserved when saving.
The following describe the fields of the Calculator Entry dialog box:
Font Size: Select a font point size from this dropdown list.
Preview: This area displays a sample of what calculator entries will look like with the
current selection.
Axis Title (Fonts and Colors) Histogram (Fonts and Colors)
Axis Values (Fonts and Colors) Measurement Annotation (Fonts and Colors)
Axis Values (Smith Chart - Fonts and Colors) Text Annotation (Fonts and Colors)
Calculator Entry (Fonts and Colors) Waveform Colors (Fonts and Colors)
Cursor/Marker (Fonts and Colors) Waveform Name (Fonts and Colors)
Grid (Fonts and Colors) Window Background (Fonts and Colors)
Header Text (Fonts and Colors) Zero-Level Line (Fonts and Colors)
EZwave Users and Reference Manual, 2009.2 298
Dialog Boxes
Chirp Transform Dialog Box
Default: Click the Default button to restore the font size of calculator entries to the
default setting.
See Also
Chirp Transform Dialog Box
The Chirp Transform dialog box specifies the parameters for the chirp function used in the
Waveform Calculator.
The Chirp Z-Transform is the most efficient algorithm used for computing the Discrete Fourier
Transform in the Z domain.
Axis Title (Fonts and Colors) Histogram (Fonts and Colors)
Axis Values (Fonts and Colors) Measurement Annotation (Fonts and Colors)
Axis Values (Smith Chart - Fonts and Colors) Text Annotation (Fonts and Colors)
Calculator Entry (Fonts and Colors) Waveform Colors (Fonts and Colors)
Cursor/Marker (Fonts and Colors) Waveform Name (Fonts and Colors)
Grid (Fonts and Colors) Window Background (Fonts and Colors)
Header Text (Fonts and Colors) Zero-Level Line (Fonts and Colors)
Dialog Boxes
Chirp Transform Dialog Box
EZwave Users and Reference Manual, 2009.2 299
Figure 11-9. Chirp Transform Dialog Box
The following describes the fields of the Chirp Transform dialog box:
EZwave Users and Reference Manual, 2009.2 300
Dialog Boxes
Chirp Transform Dialog Box
Source Waveform: Specifies the source waveform. Use the Add Selected Waveform
button to add the currently selected waveform. Use the Clear Waveform List
button to remove all waveforms in the list.
Output Waveform: Specifies the name for the result waveform.
Parameter Setup
o Start time: Specifies the start time for the signal.
o Stop time: Specifies the stop time for the signal.
o Sampling frequency: Specifies the sampling frequency.
o Number of Points: Specifies the number of sampling points.
o Number of Points for Result: Specifies the number of points for the result
waveform.
Advanced >>: Toggles whether the advanced options are shown. The following fields
are shown when the advanced options are visible:
o Output Options:
Reference Frequency: Adjusts results around the Y-axis so that the point for the
specified reference frequency is 0.0.
MinimumFrequency: Specifies the starting frequency used to display the Chirp
results.
Maximum Frequency: Specifies the last frequency used to display the Chirp
results.
Plot Chirp Transform as: Select this box to enable selection of the following
plot options:
dB: Displays a result waveform in dB.
Phase: Displays a result waveform for the phase, in degrees.
Magnitude: Displays a result waveform for the absolute magnitude of the input
waveform.
Real: Displays the real part of the result waveform.
Imaginary: Displays the imaginary part of the result waveform.
o Improving Chirp Results
Windowing: Specifies a windowing transform to apply to the output
waveform(s). Refer to the Windowing Transform Dialog Box for more details
about these options.
Dialog Boxes
Circle Visibility Dialog Box
EZwave Users and Reference Manual, 2009.2 301
Use Data Points should be selected as default if the input data has equidistant
Time Steps; otherwise, Uniform Sampling should be selected.
Pad with Zeros: Select this option to allow padding with zeros.
Circle Visibility Dialog Box
The Circle Visibility dialog box allows you to change the appearance of a circle plot for a Smith
Chart.
Figure 11-10. Circle Visibility Dialog Box
Click the Show All button to make all circles visible. Click the Hide All button to hide all
circles. Click the Show field to show individual circles. Control-click multiple Show fields in
order to display more than one circle at a time.
Clocks
The Clocksdialog box enables you to add, modify, or delete clocks. It is accessed by clicking
Clocks from the Comparison Method Tab of the Comparison Options dialog box. See
Clocked Comparison on page 110 details on clocks.
EZwave Users and Reference Manual, 2009.2 302
Dialog Boxes
Comparison Options
Figure 11-11. Clocks Dialog Box
Add
Opens the Add Clock dialog box where you can create a new clock.
Modify
Opens the Add Clock dialog box for the selected clock so that you can modify it.
Delete
Deletes a selected previously-defined clock.
Comparison Options
The Comparison Options dialog box controls the Waveform Compare Tools options. To open
the Comparison Options dialog box, select from the menu bar, Waveform Compare >
Options
The Comparison Options dialog box contains the following three tabs:
General Options Tab
Comparison Method Tab
AMS Options Tab
General Options Tab
The General Options tab contains global options for waveform comparison. Options in this tab
include setting the maximum number of differences allowed before the comparison terminates,
specifying signal value matching rules, and which comparison results to display.
For more information on these options, refer to the Questa SV/AFV Users Manual.
Dialog Boxes
Comparison Options
EZwave Users and Reference Manual, 2009.2 303
Figure 11-12. General Options Tab
Comparison Limit Count: Specifies the maximum number of differences (Total
Limit) and differences per signal (Per Signal Limit) allowed before the comparison
terminates.
VHDL Matching: Specifies the VHDL signal value matching rules. For more
information on these options, refer to the Questa SV/AFV Users Manual.
Verilog Matching: Specifies the Verilog signal value matching rules. For more
information on these options, refer to the Questa SV/AFV Users Manual.
o Automatically Add Comparison to Wave Window This option adds the
comparison results to the current wave window, including both correct (waveforms
with no differences) and erroneous (waveforms with differences) waveforms.
o Automatically Add Comparisons With Errors to Wave Window This option
adds only comparison results that contain errors (waveforms with differences) to the
current wave window.
o Do Not Plot Results of Comparison This option does not add the comparison
results to the current wave window.
EZwave Users and Reference Manual, 2009.2 304
Dialog Boxes
Comparison Options
Comparison Method Tab
The Comparison Method tab enable you to choose how the WaveformCompare Tool compares
digital waveforms. The two methods for comparing digital waveforms are as follows:
Figure 11-13. Comparison Options, Comparison Method Tab
Continuous Comparison
By default, the Waveform Compare Tool uses the Continuous Comparison method. In
this method, test signals are compared to reference signals at each transition of the
reference. Comparisons also apply to analog-analog and mixed-signal comparison.
You have the option of specifying leading and trailing tolerances.
Clocked Comparison
In the Clocked Comparison method, you define a clock to use as a trigger waveform for
the comparison. Signals are compared only at or just after an edge on some signal. In
this mode, you define one or more clocks. The test signal is compared to a reference
signal and both are sampled relative to the defined clock. The clock can be defined as
Dialog Boxes
Comparison Options
EZwave Users and Reference Manual, 2009.2 305
the rising or falling edge (or either edge) of a particular signal plus a user-specified
delay. The design need not have any events occurring at the specified clock time.
The clocked comparison settings here will also apply to analog-analog and analog-
digital comparisons.
When you define the clock, it can also apply to an analog waveform. The edges, rising
or falling, are automatically calculated using functions available in the EZwave
Measurement Tool.
The Clocks button opens the Add Clocks dialog box so you can define the clock.
AMS Options Tab
These options are an extension of the Continuous Comparison of digital-digital compare
options. Use these options for analog-analog and mixed-signal comparison. You can set the
options for the following two types of comparison:
Figure 11-14. Waveform Compare AMS Options
EZwave Users and Reference Manual, 2009.2 306
Dialog Boxes
Comparison Options
Analog Comparison
The analog comparison options enable you to specify the X and Y tolerances, or use the default
tolerances.
When you select Use Automatic X Tolerance or Use Automatic Y Tolerance, the Waveform
Compare Tool uses the following formulas to calculate the tolerances:
X Tolerance
Y Tolerance
The analog-analog comparison is based on waveform data points provided by the simulator, for
both the reference and the test waveform.
When you specify the tolerance, you can use percentage or numerical values. The tolerances
define a virtual tube around the reference waveform. The WaveformCompare Tool checks to
see if the test waveform is inside the tube, and reports a difference if the test waveform is
outside the tube.
Figure 11-15. Waveform Compare Showing Tolerance
max_time min_time
number_of_points
-----------------------------------------------------
0.01 y_max y_min ( )
Dialog Boxes
Comparison Method
EZwave Users and Reference Manual, 2009.2 307
In Figure 11-15, the blue waveformrepresents the reference waveform, and the green waveform
represents the test waveform. The pink waveforms are the virtual tubes that represent the
tolerances.
Mixed Comparison
When comparing mixed-signal waveforms, the Waveform Compare Tool first converts the
analog waveform into a digital waveform. Then it applies a digital-digital comparison (the
comparison function is based on the least accurate waveform).
The Mixed Comparison options contains the following settings:
Analog to Digital Conversion Options
Specify whether you want to apply a Single Threshold (default) or Two Thresholds for
the analog to digital conversion.
By default, the Use Automatic Thresholds option is checked, specifying that the
thresholds are deduced from the input waveforms. Uncheck this to specify fixed values
for each of the thresholds.
Tolerances
Once the Waveform Compare Tool converts the waveform from analog to digital, it
applies the digital-digital comparison. Here you can specify a leading and trailing
tolerance, different than those defined for pure digital-digital comparisons (the
conversion introduces a lack of precision that implies larger tolerances).
Comparison Method
The Comparison Method dialog box is the second page in the Waveform Compare Wizard.
From this page, you select the method by which the Waveform Compare Tool compares
waveforms.
EZwave Users and Reference Manual, 2009.2 308
Dialog Boxes
Compute Differences Now
Figure 11-16. Comparison Method Page of the Waveform Compare Wizard
The following describe the different methods for comparing waveforms:
Compare All Waveforms
Specifies that you want to compare all available waveforms in the test dataset against the
same waveforms in the reference dataset. If this option is selected, the next page in the
Waveform Compare Wizard will ask for confirmation that you want to begin
comparison.
Specify Comparison By Waveform
Specifies that you want to choose which waveforms to compare. If this option is
selected, the next page in the Waveform Compare Wizard will be Select Waveforms.
Specify Comparison By Hierarchy Level
Specifies that you want to choose which waveforms to compare by their hierarchy
levels. If this option is selected, the next page in the WaveformCompare Wizard will be
Select Hierarchy.
Compute Differences Now
This is the last page in the Waveform Compare Wizard. The only options are to click Finish,
which begins the waveformcomparison, or to click Previous, which takes you back one page in
the Waveform Compare Wizard.
Dialog Boxes
Constellation Diagram Dialog Box
EZwave Users and Reference Manual, 2009.2 309
Figure 11-17. Compute Differences Now Page of the Waveform Compare
Wizard
Constellation Diagram Dialog Box
The Constellation Diagramdialog box specifies the parameters for the constellationdiagram
function used in the Waveform Calculator. The constellationdiagram function creates a
constellation diagram based on a waveform displayed in scatter drawing mode.
Each scattered "dot" on a constellation diagram represents a unique "symbol," and each symbol
represents unique digital data bits. Digital data is parsed into data lengths that encode the
symbol waveform. The period between two consecutive symbols can be deduced from the
circuit or can be measured from the transient symbol waveform. The symbol period is used as a
sampling period for generating the constellation diagram.
EZwave Users and Reference Manual, 2009.2 310
Dialog Boxes
Constellation Diagram Dialog Box
Figure 11-18. Constellation Diagram Dialog Box
The following describes the fields of the Constellation Diagram dialog box:
Source Waveform: Specifies the source waveform. Use the Add Selected Waveform
button to add the currently selected waveform. Use the Clear Waveform List
button to remove all waveforms in the list.
The source waveform must be a complex-valued transient waveform. If you have only
real-part and imaginary-part (or gain and phase) waveforms, you can reconstruct the
complex-valued waveform using the complex function in the Waveform Calculator
from the Complex dropdown list item.
Output: Specifies the name of the output waveform.
Parameter Setup
o Delay: Specifies the initial time delay before the first sampling is taken. This is used
as an offset in order to sample each symbol at the center of each digital data duration
o Symbol Period: Used as a sampling period for the calculation. This value must be
deduced from the circuit.
An inappropriate delay or symbol period selection results in a bad constellation diagram.
Dialog Boxes
Convolution Dialog Box
EZwave Users and Reference Manual, 2009.2 311
Convolution Dialog Box
The Convolution dialog box specifies the parameters for the convolution function used in the
Waveform Calculator.
The convolution function calculates the discrete linear convolution between two data sets.
For two finite data sequences, x(n), n = 0, , N 1 and h(n), n = 0 , , M 1, the discrete
linear convolution x (n) h (n) is defined as follows:
For signals x(n), h(n) which are periodic with period N, the discrete FFT of their periodic
convolution is equal to the multiplication of the separate FFT results. This relation is called the
Discrete Convolution Theorem. Using zero padding of x(n) and h(n) to make circular
convolution yield the same result as linear convolution, the following method can then be
applied for computing the linear convolutions of two finite data sequences
x(n), n = 0, , N 1 and h(n), n = 0 , , M 1.
y n ( ) x m ( ) h n m ( )
m =

=
EZwave Users and Reference Manual, 2009.2 312
Dialog Boxes
Convolution Dialog Box
Step 1 Zero Padding
Step 2 Multiply FFTs
Step 3 Inverse FFT
Convolution Dialog Box Fields
Figure 11-19. Convolution Dialog Box
x(n) = x(n) n = 0, , N 1
x(n) = 0 n = N, , N + M 1
h(n) = h(n) n = 0, , M 1
h(n) = 0 n = M, , N + M 1
of x(n) and h(n)
x(n) X(k) FFT
h(n) H(k) FFT
Multiplication:
X(k) H(k) = Y(k)
Y(k) y(n) = x(n)*h(n) IFFT
Dialog Boxes
Create Bus
EZwave Users and Reference Manual, 2009.2 313
The following describes the fields of the Convolution dialog box:
Source Waveform: Specifies the source waveforms. Use the Add Selected Waveform
button to add the currently selected waveform. Use the Clear Waveform List
button to remove all waveforms in the list.
All of the data points in the source waveforms must be equal-distanced. To create a
uniformly-sampled waveform data set, use the Windowing (WND) function in the
waveform calculator and check the Uniform Sampling radio button.
Output: Specifies the names of the output waveform.
Parameter Setup
o Sampling Frequency: Specifies the sampling frequency of the input data sets.
o Number of Points of the First Input Waveform: Specifies the number of points used
in the first input waveform.
o Number of Points of the Second Input Waveform: Specifies the number of points
used in the second input waveform.
Minimum frequency and Maximum frequency represent the frequency band in which the
convolution will be calculated.
Create Bus
The Create Bus dialog box enables you to create your own bus from selected digital waveforms
(or so called bits). The dialog shows the default name of the bus and the contents of the bus.
Access this dialog box from the Tools > Create Bus menu item.
EZwave Users and Reference Manual, 2009.2 314
Dialog Boxes
Create Bus
Figure 11-20. Create Bus Dialog Box
Bus Options
Specify the name and radix of the bus. Enter a name in the Name text field and click on
the dropdown list next to Radix to select from Two's Complement, Binary,
Hexadecimal, Unsigned Decimal, Octal, or Ascii radix.
Bits in Bus
The Bits in Bus window lists all of the selected waveform names. The waveform
selected first is used as the Most Significant Bit (MSB) of the bus, and the waveform
selected last is used as the Least Significant Bit (LSB).
Dialog Boxes
Cross Correlation Dialog Box
EZwave Users and Reference Manual, 2009.2 315
o Add/Remove Bits
The Add button opens a dialog box where you can choose bits to add to the bus. The
Remove button removes the currently selected bits from the bus.
o Re-order Bits
Use the Invert button to reverse the order of the listed bits in the bus. The Move Up
and Move Down buttons move the selected bit(s) up or down accordingly.
Transformation Setup
If the bus is an analog or hybrid bus, the analog signals will be automatically
transformed to digital. You may use Single Threshold or Two Thresholds to digitize
the input waveform(s). Click in the text windows of the Transformation Setup field and
change the default values to the desired threshold values.
Cross Correlation Dialog Box
The Cross Correlation dialog box specifies the parameters for the crosscorrelation function
used in the Waveform Calculator.
The cross correlation function calculates the discrete cross correlation between two data sets.
This can be calculated by performing a Convolution on one data sequence and the time-reversed
version of the other.
For two finite data sequences x (n), n = 0, , N 1 and y (n), n = 0 , , M 1, the discrete
cross correlation is defined as follows:
Because of the following relation:
the cross correlation can be computed by performing a Convolution on x(n) and y(n), the time-
reversed version of y(n).
Rx y n ( ) x m ( ) y m n ( )
m =

=
Rxy n ( ) x n ( )*y n ( ) =
EZwave Users and Reference Manual, 2009.2 316
Dialog Boxes
Cursor/Marker (Fonts and Colors)
Figure 11-21. Cross Correlation Dialog Box
The following describes the fields of the Cross Correlation dialog box:
Source Waveforms: Specifies the source waveforms. Use the Add Selected
Waveform button to add the currently selected waveform. Use the Clear
Waveform List button to remove all waveforms in the list.
All of the data points in the source waveforms must be equal-distanced. To create a
uniformly-sampled waveform data set, use the Windowing (WND) function in the
waveform calculator and check the Uniform Sampling radio button.
Output Waveform: Specifies the names of the output waveform.
Parameter Setup
o Sampling Frequency: Specifies the sampling frequency of the input data sets.
o Number of Points of the First Input Waveform: Specifies the number of points used
in the first input waveform.
o Number of Points of the Second Input Waveform: Specifies the number of points
used in the second input waveform.
Minimum frequency and Maximum frequency represent the frequency band in which the cross-
correlation will be calculated.
Cursor/Marker (Fonts and Colors)
You can modify the font and color of cursors and markers in EZwave. Use the Edit > Options
menu item to open the EZwave Display Preferences dialog box. Expand the Fonts and Colors
Dialog Boxes
Cursor/Marker (Fonts and Colors)
EZwave Users and Reference Manual, 2009.2 317
folder in the list on the left side of the dialog box to display a list of the elements that you can
customize. Click on Cursor/Marker in the list to display the font and color options.
Available fonts will vary from system to system, depending on what's installed. To use a new
font in EZwave, your system administrator must add the font to the system as well as the
font.properties file of the java package.
Note
Saving EZwave files only preserves font changes within the same work environment.
This is because fonts (type, size, and style) vary among different work environments.
Color changes are not affected by different systems and are preserved when saving.
The following describe the fields of the Cursor/Marker dialog box:
Font: Select an available font from this dropdown list.
Font Size: Select a font point size from this dropdown list.
Font Style: This controls the style of the font of values in cursors and markers.
Y Values: This controls the display color of the Y values associated with cursors and
markers. Click on the colored box next to Y Values to open the Color Selection dialog
box. Choose a color and click OK to accept the color and return to the Cursor/Marker
dialog box.
X Values: This controls the display color of the X values associated with cursors and
markers. Click on the colored box next to X Values to open the Color Selection dialog
box. Choose a color and click OK to accept the color and return to the Cursor/Marker
dialog box.
Preview: This area displays a sample of what the text will look like with the current
selections.
Cursor Line: This controls the color of cursor lines. Click on the colored box next to
Cursor Line to open the Color Selection dialog box. Choose a color and click OK to
accept the color and return to the Cursor/Marker dialog box.
Marker Line: This controls the color of marker lines. Click on the colored box next to
Marker Line to open the Color Selection dialog box. Choose a color and click OK to
accept the color and return to the Cursor/Marker dialog box.
Default: Click the Default button to restore the font and color of cursors and markers to
the default settings.
EZwave Users and Reference Manual, 2009.2 318
Dialog Boxes
Cursors (EZwave Display Preferences Dialog Box)
See Also
Cursors (EZwave Display Preferences Dialog
Box)
Use Edit > Options to display the EZwave Display Preferences dialog box. Choose Cursors
from the list on the left of the dialog box to control cursor display properties.
Cursor Precision
Use the dropdown list to select the degree of precision that you want values in value flags
attached to cursors to have. This value can range from 1 to 9 digits of precision.
Cursor Y Units
This option controls whether units are displayed with the values in value flags.
Cursor Delta Y
This option determines how the Y deltas are calculated.
Waveform based
The delta Ys are calculated based on the same waveform between the Y-level lines of
two or more cursors (Figure 11-22). Each cursors delta Y value is calculated from the
base cursor.
Axis Title (Fonts and Colors) Histogram (Fonts and Colors)
Axis Values (Fonts and Colors) Measurement Annotation (Fonts and Colors)
Axis Values (Smith Chart - Fonts and Colors) Text Annotation (Fonts and Colors)
Calculator Entry (Fonts and Colors) Waveform Colors (Fonts and Colors)
Cursor/Marker (Fonts and Colors) Waveform Name (Fonts and Colors)
Grid (Fonts and Colors) Window Background (Fonts and Colors)
Header Text (Fonts and Colors) Zero-Level Line (Fonts and Colors)
Dialog Boxes
Cursors (EZwave Display Preferences Dialog Box)
EZwave Users and Reference Manual, 2009.2 319
Figure 11-22. Waveform-Based Delta Y
Cursor based
The delta Ys are calculated from the Y-level lines of a single cursor (Figure 11-23).
Each Y-levels delta Y value is calculated from the base Y-level line.
EZwave Users and Reference Manual, 2009.2 320
Dialog Boxes
Data Format (EZwave Display Preferences Dialog Box)
Figure 11-23. Cursor-Based Delta Y
Data Format (EZwave Display Preferences Dialog
Box)
Use Edit > Options to display the EZwave Display Preferences dialog box. Choose Data Format
from the list on the left of this dialog box to access global scaling and notation method settings
for the waveform display.
Axis Data Units
The table in this section lists all of the known waveform data types, allowing control over the
units to be used for each. For example, you may specify that all waveforms containing Time
data should be displayed as nanoseconds instead of the default of seconds. Click in the Units
column to access the dropdown lists with the unit choices.
The Scaling column allows control over how many units should be used for the axis labels. For
example, if the waveform X data has a periodic interval of 33, then the X axis tick labels might
be 33, 66, 99. To have the labels display the number of periods, you would specify the scaling
value to be 33. Then the tick labels would be 1, 2, 3.
Dialog Boxes
Data Values Dialog Box
EZwave Users and Reference Manual, 2009.2 321
Y Axis Options
Ordinarily, when waveforms with different Y axis units are plotted in the same Graph row, the
Graph row displays multiple Y axes for each waveform. If you want to display certain sets of
units on the same Y axis, select Overlay Only following Y Axis Units. For each grouping of
compatible units you want, click the New button and move the unit names into the Compatible
Units column in the Edit Compatible Units dialog box, then click OK. You can turn on and off
compatible unit groupings by selecting the box in the Apply It column. You can also Edit or
Delete existing groupings of compatible units.
If you want all Graph rows to have a single Y axis, regardless of the units, select Overlay All Y
Axis Units.
Notation
The radio buttons control how numeric values in the graph window will be formatted. The
following choices are available:
IEEE Suffix - uses suffixes defined by IEEE
SPICE Suffix - uses suffixes defined by the SPICE simulation syntax
Engineering Notation - displays values in exponential format; uses an exponent which is
a multiple of three
None - uses no special formatting
Bus Format
Use the dropdown list to select the default radix for displaying bus values. Possible radices
include:
Two's Complement
Binary
Hexadecimal
Octal
Unsigned Decimal
Ascii
Data Values Dialog Box
The Data Values dialog box allows you to control the display of cursor values in a Smith Chart.
EZwave Users and Reference Manual, 2009.2 322
Dialog Boxes
Data Values Dialog Box
To invoke this dialog box, add a cursor to a Smith Chart, right-click on the value flag, and use
the cursor popup menu to select Data Values.
Figure 11-24. Data Values Dialog Box
Select Normalized Impedance, Normalized Admittance, or Normalized
Admittance/Impedance if you want the displayed value to be normalized. If this option
is not selected, enter a ZCHAR value, in ohms. This option varies depending on
whether impedance, admittance, or both are displayed in the value flag. If the value is
not normalized, the display in the value flag reflects this information.
Select Display Admittance and Impedance to display both admittance and impedance
values in the value flag. Otherwise, only one of the admittance or impedance values is
displayed, depending on whether the Smith Chart is in admittance or impedance mode.
Select Display S Parameter to display the S parameter in the value flag. If this option is
not selected, the S parameter is not displayed.
Dialog Boxes
Edit Compatible Units Dialog Box
EZwave Users and Reference Manual, 2009.2 323
Edit Compatible Units Dialog Box
The Edit Compatible Units dialog box allows you to make groupings of units that can appear on
the same Y axis in a Graph row.
To invoke this dialog box, bring up Data Format from the EZwave Display Preferences dialog
box, and click the New button in the Y Axis Options field.
Figure 11-25. Edit Compatible Units Dialog Box
Select a single unit from the list by clicking on it. You can select a range of units by using Shift
+ click, and you can select multiple units by using CTRL + click. Use the arrowbuttons to move
the units between the columns. When all of the units you want to group as compatible are in the
Compatible Units column, click OK.
Edit Digital Transformation Dialog Box
The Edit Digital Transformation dialog box allows you to define a transformation for an
enumerated type. Bring it up by clicking the New or Edit button from the Supported Digital
WaveformTransformations frame of Transformations from the EZwave Display Preferences
dialog box.
EZwave Users and Reference Manual, 2009.2 324
Dialog Boxes
Edit Digital Transformation Dialog Box
Figure 11-26. Edit Digital Transformation Dialog Box
Enter a Transformation Name in the text box.
Select a Source Type from the dropdown list. The source type may change depending on what
enumerated types exist in loaded databases.
Select a Destination Type from the dropdown list. Possible destination types include:
analogic
bit
Boolean
standard logic
Verilog logic
VHDL characters
All possible source values appear in the Source Values column. Click the corresponding cell
for each of the Destination Values, and use the dropdown list to select the transformed value,
Dialog Boxes
Error Vector Magnitude and Bit Error Rate Dialog Box
EZwave Users and Reference Manual, 2009.2 325
or type in the value if your destination type is analogic. You must select a destination value for
each source value before clicking OK.
Error Vector Magnitude and Bit Error Rate Dialog
Box
The Error Vector Magnitude and Bit Error Rate dialog box specifies the parameters for the
evmber function used in the Waveform Calculator.
The Error Vector Magnitude (EVM) and Bit Error Rate (BER) are useful figures of merits
providing a diagnostic on the overall fidelity of the communication systems. They characterize
the perturbation between a real signal and a reference or ideal one.
Figure 11-27. Error Vector Magnitude and Bit Error Radio Dialog Box
The following describes the fields of the Error Vector Magnitude and Bit Error Rate dialog box:
Source Waveforms: Specifies the source waveforms. Use the Add Selected
Waveform button to add the currently selected waveform. Use the Clear
Waveform List button to remove all waveforms in the list.
EZwave Users and Reference Manual, 2009.2 326
Dialog Boxes
Error Vector Magnitude and Bit Error Rate Dialog Box
The source waveforms must be scattered constellation diagram waveforms. The first
input is the constellation diagram of a reference (Ref) signal, and the second input is the
constellation diagram of a modulated (Mod) signal.
Output: Specifies the names of the output variables; these can be used in later
calculations. Bit Error Ratio MPSK Name and Bit Error Ratio MQAM Name will be
available only if these options are selected in the parameter setup.
EVM is a scalar value and is expressed as a percentage. It is a function of both the
amplitude and phase errors in a received signal. Lower EVM indicates better signal
quality.
Parameter Setup
o Use Bit Error Rate MPSK Param: Select this option to estimate the BER for an
MPSK (M-ary Quadrature Amplitude Modulation)-modulated signal
o Use Bit Error Rate MQAM Param: Select this option to estimate the BER for an
MQAM (M-ary Phase Shift Keying)-modulated signal.
Understanding EVM and BER Computations
Error Vector Magnitude (EVM) can be computed from the constellation diagram of a
modulated signal and the constellation diagram of a reference signal. If IMEAS, QMEAS,
IREF, and QREF stand, respectively, for the I and Q components of a modulated signal and its
reference signal, then the phase error, magnitude error, and EVM are calculated as follows:
These quantities are expressed in terms of their RMS values with Magnitude error and EVM
being expressed in percentage and normalized to the reference signal.
Bit Error Rate (BER) is estimated only for MPSK and MQAM modulated signals. This
estimation is computed, like EVM, from two constellation diagrams. The perturbation between
the real and ideal diagrams is assumed to follow a Gaussian law with a standard deviation s
deduced from the EVM calculations.
Dialog Boxes
Export Image
EZwave Users and Reference Manual, 2009.2 327
For MPSK, it is assumed that only the phase error influences the detection quality.
(Consequently, s is the Phase Error.) The BER is then defined as the probability for the
instantaneous phase error to be greater than the decision angle (p/M).
For MQAM, define the I and Q errors as the RMS values of the differences in I and Q between
the real and ideal diagrams. The detection process only depends on these two measurements.
Two Gaussian laws are defined for the I and Q errors (sI and sQ). The BER is then defined as
the probability for the instantaneous I error to be greater than the I decision distance (half the
Inphase distance between two adjacent ideal positions) or for the instantaneous Q error to be
greater than the Q decision distance (half the Quadrature distance between two adjacent ideal
positions). The calculation is slightly different for the particular cases where M=8 or M=32.
Export Image
Use the Export Image dialog box to export the contents of the active Graph window or all
visible Graph windows to a JPEG or PNG image file. The Export Image dialog box is accessed
from the File > Export menu item.
Figure 11-28. Export Image Dialog Box
File Name: Specifies the path and filename to which you want to save the image.
Save As Type: Specifies the file type of the image you want to save. Supported file type
output formats are JPEG and PNG.
Overwrite existing file: Specifies to overwrite an existing file with the same file name.
EZwave Users and Reference Manual, 2009.2 328
Dialog Boxes
Eye Diagram Tool Dialog Box
Resolution: Specifies the resolution with which to save the image. You can save the
image using the screen resolution, or using low or high printer resolutions.
Window: Specifies which window to export to an image; the active window or all
visible windows. Make sure the windows are tiled and visible when selecting All
Visible Windows.
Quality: This option is only available for JPEG images. This specifies the quality level
of the exported image.
Eye Diagram Tool Dialog Box
You can create an eye diagram based on a period of waveform data using the Eye Diagram
Tool. To open the Eye Diagram Tool, select Tools > Eye Diagram.
Settings Tab
Figure 11-29. Settings Tab in Eye Diagram Tool
Dialog Boxes
Eye Diagram Tool Dialog Box
EZwave Users and Reference Manual, 2009.2 329
Source Waveform
Select the source waveformfromthe dropdown list or use the Add Selected Waveforms button
to add a waveform from an open Graph Window. Use the Clear Waveform List
button to remove all waveforms in the list.
Eye Period Setup
Eye Period: The eye diagram is generated by overlaying a semi-periodical waveform
signal on an interval in X (usually a time interval). The interval is defined as the eye
period. A default eye period is calculated based on period divided by 2.
Eye Parameters Setup
Offset: The open part of the eye is not always at the center of the axes. You may specify
a different offset value to generate an eye diagram with the open part at the desired
location.
Minimum X Value and Maximum X Value: Define the range of waveform data used
for generating the eye diagram.
Use Specified Eye Period for Eye Parameters calculation: Click this button to use the
Eye Period value to calculate the Offset, MinimumX, and MaximumX. These fields are
then automatically populated with the calculated results.
General Setup
Select Apply Measurements to have the measurements automatically updated as you modify
the values in this dialog box. This updates the appropriate values in the eye diagram as well as
all the values in the Measurement Results tab.
EZwave Users and Reference Manual, 2009.2 330
Dialog Boxes
Eye Diagram Tool Dialog Box
Measurement Results Tab
Figure 11-30. Measurement Results Tab in Eye Diagram Tool
The Measurement Results tab displays the values from the current setup from the Settings tab.
Some of these measurements use a calculated cross eye measurement.
Dialog Boxes
Eye Diagram Tool Dialog Box
EZwave Users and Reference Manual, 2009.2 331
Figure 11-31. Left and Right Crossing Points and Vertical Band
Cross Eye Calculation
The Cross Eye measurement is calculated by first evaluating the horizontal distribution of the
eye diagram points. From these points, we take the mean and use it as a horizontal level. The
points of the eye diagram cross this horizontal level (eye crossings) on the left of the diagram
and also on the right of the diagram. The distance between the left- and right-crossing is called
the Cross Eye.
Eye Diagram Measurements
Eye Height
The Eye Height is calculated as the difference between the (High_Level - 3 *
high_level_stdev) and the (Low_Level + 3 * low_level_stdev) calculations. Eye Width
Eye Width
The Eye Width is calculated as the difference between the (Cross_Eye + 3 * stdev) and
the (Cross_Eye - 3 * stdev) calculations. The stdev of the left and right parts of the eye
crossing are used in this calculation.
EZwave Users and Reference Manual, 2009.2 332
Dialog Boxes
FFT Input Dialog Box
High Level
The point distribution in the upper part of a vertical band around the midpoint of the
Cross Eye are used to determine the High Level. The High Level standard deviation is
computed within this band.
High Level - 3stdev
This is calculated by subtracting (3 * high_level_stdev) from the High Level value.
Low Level
The point distribution in the lower part of a vertical band around the midpoint of the
Cross Eye are used to determine the Low Level. The Low Level standard deviation is
computed within this band.
Low Level + 3stdev
This is calculated by adding (3 * low_level_stddev) to the Low Level value.
Cross Eye + 3stdev
This is calculated by adding (3 * cross_eye_stdev) to the left value of the Cross Eye.
Cross Eye - 3stdev
This is calculated by subtracting (3 * cross_eye_stdev) to the right value of the Cross
Eye.
Eye Jitter
Eye Jitter is the width of the eye crossing points.
Eye SNR
Eye SNR is calculated by the following formula:
FFT Input Dialog Box
It is sometimes preferable in signal analysis to compute time and frequency domain expressions
for data that is sampled at discrete intervals. This is generally referred to as a Discrete Fourier
Transform (DFT).
The Fast Fourier Transform (FFT) is the fastest and most efficient available algorithm for
computing the DFT. Use the FFT Input dialog box to select parameters for an FFT.
There are two ways to access the Fast Fourier Transform dialog box:
High_Level Low_Level
high_level_stdev low_level_stdev +
-------------------------------------------------------------------------------------
Dialog Boxes
FFT Input Dialog Box
EZwave Users and Reference Manual, 2009.2 333
Select Tools > FFT from the menu bar.
You can also access the Fast Fourier Transform dialog box through the Waveform
Calculator:
o Click on the Waveform Calculator icon, or select it from the Tools menu.
o Select Panel > Signal Processing, or from the icon bar, use the pulldown menu to
select Signal Processing.
o Click the FFT button on the calculator keypad.
EZwave Users and Reference Manual, 2009.2 334
Dialog Boxes
FFT Input Dialog Box
Figure 11-32. Fast Fourier Transform Dialog Box
Dialog Boxes
FFT Input Dialog Box
EZwave Users and Reference Manual, 2009.2 335
Source Waveform
Select the source waveformfromthe dropdown list or use the Add Selected Waveforms button
to add a waveform from an open Graph Window. Use the Clear Waveform List
button to remove all waveforms in the list. If a digital waveformis selected, the bit pattern is
transformed from digital to analog based on the default settings in the Transform Digital to
Analog dialog box. To use different settings, first use the Transform Digital to Analog dialog
box to create an analog waveform, then use this dialog box to perform the FFT.
Parameter Setup
This option controls the input for the transformation. Enter the following parameters:
Start Time Start time for the signal.
Stop Time Stop time for the signal.
Sampling Frequency Sampling frequency.
Number of Points Number of sampling points.
These parameters satisfy the following equation:
Note
The number of points for the FFT results is always:
FFT computations are performed only on those signals having the Number of Points input
parameter set to a factor of 2
n
(n = 2, 3, ...). If this is not so, then a slower DFT
computation is executed.
Click on Default to insert a default set of parameters in the Input Parameters portion of the
window. These parameters give information about the sampling of the input data and the range
of the input signal which is used for the computation. If any input parameter is missing, EZwave
can compute the missing parameter from the others. After changing any parameter values it is
always possible to retrieve the default values by clicking on the Default Parameters button.
Advanced
Click on this button to display additional advanced options that can be used to improve FFT
results.
number_of_points 1
sampling_frequency
---------------------------------------------------- stop_time start_time =
Number of Points
2
------------------------------------------ 1 +
EZwave Users and Reference Manual, 2009.2 336
Dialog Boxes
FFT Input Dialog Box
Output Options
Select from the following options:
Reference Frequency: Adjusts results around the Y-axis so that the point for the
specified frequency is 0.0.
Minimum Frequency: Specifies the starting frequency used to display the FFT results.
Maximum Frequency: Specifies the last frequency used to display the FFT results.
Normalize Results: If the Normalized button is selected, all real and imaginary parts of
the result are divided by (Number of Points)/2 except for the first point, which is divided
by Number of Points.
Plot FFT as: Select this box to enable selection of the following plot options:
o dB: Displays a result waveform in dB.
o Phase: Displays a result waveform for the phase, in degrees.
o Magnitude: Displays a result waveform for the absolute magnitude of the input
waveform.
o Real: Displays the real part of the result waveform.
o Imaginary: Displays the imaginary part of the result waveform.
This choice is remembered for future results.
Plot dB as: Allows you to select whether the dB waveform generated by FFT is
displayed in Spectral or Continuous mode.
Windowing
In order to estimate the power density spectrum of a random signal, only a finite part of the
signal is used in practice even if the signal is of infinite duration. In order to reduce the
undesirable effects of truncating the data records (leakage), it is convenient to apply different
types of windows that gradually taper the data near the ends of the record, thereby avoiding the
abrupt truncation of a rectangular window.
For a record consisting of N points indexed from 0 to N - 1, EZwave works with the following
equations:
Bartlett Window
Dialog Boxes
FFT Input Dialog Box
EZwave Users and Reference Manual, 2009.2 337
Blackman Window
Blackman-Harris Window
Dolph-Chebyshev Window
where:
Hamming Window
Hanning Window
Kaiser Window
Where:
EZwave Users and Reference Manual, 2009.2 338
Dialog Boxes
FFT Input Dialog Box
I0(x)=Modified zero-order Bessel function.
=Constant which specifies a frequency trade-off between the peak height of the side lobe
ripples and the width of energy in the main lobe.
Klein Window
When:
and
Note
w(i) has a minimum amplitude moment and minimizes the truncation error in high
resolution computations.
Parzen Window
Rectangular Window
Welch Window
Sampling
Use Data Points should be selected as default if the input data has equidistant Time
Steps; otherwise Uniform Sampling should be selected.
Data Padding
Pad with Zeros - Select this option to allow padding with zeros.
Dialog Boxes
Find Tool
EZwave Users and Reference Manual, 2009.2 339
Status Message
This section will display any informational or error messages relating to the transformation.
Find Tool
The Find Tool enables you to locate specific waveforms by name. Invoke the Find Tool with the
Edit > Findmenu item. You can also invoke the Find Tool by clicking in the Waveform
Lists.
Figure 11-33. The Find Tool
Find in:
Click this dropdown list to select where to performthe search. Your choices are Plotted
Waveforms, Structure List, Waveform List, and List View. If the user has disabled
the Structure View, the Structure List and Waveform List items are replaced by Tree
View.
Find:
Enter the search string here. The wildcards allowed in the expression are the asterisk (*),
to match any number of characters, and the question mark (?), to match a single
character. You can use Exclude: with Find: to narrow down the results.
Exclude:
To exclude named waveforms, enter the string here. Waveforms matching the
expression will be excluded from the search. The wildcards allowed in the expression
are the asterisk (*), to match any number of characters, and the question mark (?), to
match a single character. You can use Exclude: with Find: to narrow down the results.
EZwave Users and Reference Manual, 2009.2 340
Dialog Boxes
General (EZwave Display Preferences Dialog Box)
Direction
Selects the direction in which to begin the search when searching any of the list views.
When the search reaches the top or bottom of the list, you are prompted to choose
whether to continue the search by wrapping around to the bottom or top of the list again
(unless Auto Wrap is selected).
Find Next
Clicking Find Next highlights the next waveform name that matches your search
expression.
Find All
Clicking Find All highlights all the waveforms that match your search expression.
Exact
If Exact is selected, the search will only return waveforms that match your search string
exactly.
Auto Wrap
If Auto Wrap is selected, searching continues from the beginning of the list when the
search reaches the end of the list. If the search is in the Up direction, searching continues
at the bottom of the list when it reaches the top of the list.
General (EZwave Display Preferences Dialog
Box)
Use the Edit > Options menu item to display the EZwave Display Preferences dialog box.
Choose General from the list on the left of the dialog box to establish the way you want the
application to respond during file opening, printing and exiting functions.
General Options
Require Confirmation Before Exiting
This option controls whether a confirmation dialog box is shown when an Exit is done. This
option can also be turned off by selecting the "Don't ask me about this again" checkbox within
the exit confirmation dialog box. Turning off this option will not prevent loss of data, because if
there are unsaved waveform databases a separate confirmation dialog box appears.
Require Confirmation Before Closing an in-use Database
This option controls whether a confirmation dialog box is show when attempting to close a
database that is currently in use.
Dialog Boxes
General (EZwave Display Preferences Dialog Box)
EZwave Users and Reference Manual, 2009.2 341
Show Grid Lines in Newly Opened Windows
This option controls whether graph windows display grid lines by default when opened.
Show Zero-Level Lines in Newly Opened Windows
This option controls whether zero-level lines are turned on by default when new graph windows
are opened.
Show List of Recent Databases in File Menu
This option controls whether the list of Most Recently Used (MRU) databases appears within
the File Menu. If the option is turned off, the application continues to keep the MRU
information in memory, but does not display the list. Click the Clear List button to clear the
MRU information.
Activate Undo/Redo
This option controls whether the Undo and Redo functions from the Edit menu are available.
Marching Waveforms
Automatically Update Displayed Waveforms (Time)
This option controls whether waveforms are automatically updated froma running simulation at
the time interval specified. Note that the frequency of the interval will impact the simulators
performance. The minimum recommendation is one minute.
Automatically Update Displayed Waveforms (% of Simulation)
This option controls whether waveforms are automatically updated froma running simulation at
the percent completed of the simulation.
Open Window
This option controls the behavior in case an error is found when loading an swd file. If Break
on Error is selected, the swd file is displayed if an error is found, and the line containing the
error is highlighted. From there, you can choose to ignore remaining errors (Skip All Errors),
continue to load with the same choices if an additional error is found (Skip Error), or Abort
the load process. If Ignore All Errors is selected, the file loads completely, regardless of errors.
This can cause unexpected behavior in the waveforms.
EZwave Users and Reference Manual, 2009.2 342
Dialog Boxes
Grid (Fonts and Colors)
Graphical Memory Management
This options specifies the maximum amount of memory (in megabytes) that is set aside for the
graphics engine. If this limit is reached, a warning dialog box will ask whether you want to
allocate more memory.
Grid (Fonts and Colors)
You can modify the color of grid lines in EZwave graph windows. Use the Edit > Options
menu item to open the EZwave Display Preferences dialog box. Expand the Fonts and Colors
folder in the list on the left side of the dialog box to display a list of the elements that you can
customize. Click on Grid in the list to display the grid options.
The Grid dialog box controls how the color of grid lines is determined. If Color selected
according to the Y Axis is selected, the grid lines' colors will match the colors of the
appropriate Y axes. If Use Color is selected, the color in the colored box is used. To choose a
color, click on the colored box to open the Color Selection dialog box. The default setting is to
use the colors of the Y axes.
Note
To show or hide grid lines, right-click in the graph window to display the popup menu
and select or clear Grid Lines.
See Also
Harmonic Distortion Dialog Box
The Harmonic Distortion dialog box specifies the parameters for the harmonic distortion
function used in the Waveform Calculator. This function computes the harmonics and the total
harmonic distortion (THD) of the input waveformsignal. Only a frequency-domain gain froma
uniformly sampled FFT result is accepted as a valid source waveform.
Axis Title (Fonts and Colors) Histogram (Fonts and Colors)
Axis Values (Fonts and Colors) Measurement Annotation (Fonts and Colors)
Axis Values (Smith Chart - Fonts and Colors) Text Annotation (Fonts and Colors)
Calculator Entry (Fonts and Colors) Waveform Colors (Fonts and Colors)
Cursor/Marker (Fonts and Colors) Waveform Name (Fonts and Colors)
Grid (Fonts and Colors) Window Background (Fonts and Colors)
Header Text (Fonts and Colors) Zero-Level Line (Fonts and Colors)
Dialog Boxes
Harmonic Distortion Dialog Box
EZwave Users and Reference Manual, 2009.2 343
The THD of a signal is the ratio of the sum of the powers of all harmonic frequencies above the
fundamental frequency to the power of the fundamental frequency. This value is expressed in
dB.
The harmonics inside the interval [Fmin, Fmax] are computed as follows:
where:
A(i) = amplitudes of the multiples of the fundamental frequency.
Ao = amplitude of the fundamental frequency.
The Total Harmonic Distortion is given by the following:
where the sum is computed over all multiples (equal or greater than 2) of the fundamental
frequency in the specified band. If these values are not identical to the sampled data values, then
they are computed by interpolation.
harmonic i ( )
A i ( )
Ao
----------
=
tot_harm
A i ( )
2
Ao
2
----------------

=
EZwave Users and Reference Manual, 2009.2 344
Dialog Boxes
Harmonic Distortion Dialog Box
Figure 11-34. Harmonic Distortion Dialog Box
The following describes the fields of the Harmonic Distortion dialog box:
Source Waveform: Specifies the source waveforms. Use the Add Selected Waveform
button to add the currently selected waveform. Use the Clear Waveform List
button to remove all waveforms in the list.
Only a frequency-domain gain from a uniformly sampled FFT result is accepted as a
valid source waveform
Output
o Harmonics Waveform Name: Specifies the name of the resulting harmonics
waveform.
o Total Harmonic Distortion Name: Specifies the name of the output variable; this can
be used in later computations.
o Display Total Harmonic Distortion: Specifies whether THD is shown as a gain
(total gain in dB) or as a percentage of the gain of the fundamental signal.
Parameter Setup
Dialog Boxes
Header Text (Fonts and Colors)
EZwave Users and Reference Manual, 2009.2 345
o Fundamental Frequency: Specifies the fundamental frequency to be used for the
harmonic distortion calculation.
o Minimum frequency: Specifies the minimum frequency (fmin) to be used in the
calculation.
o Maximum frequency: Specifies the maximum frequency (fmax) to be used in the
calculation.
Each harmonic frequency is a multiple of the fundamental frequency. Only those harmonic
frequencies above the fundamental frequency and inside the [fmin,fmax] interval are used for
the THD computation.
Header Text (Fonts and Colors)
You can modify the font and color of header text in EZwave graph windows. Use the
Edit > Options menu item to open the EZwave Display Preferences dialog box. Expand the
Fonts and Colors folder in the list on the left side of the dialog box to display a list of the
elements that you can customize. Click on Header Text in the list to display the font and color
options.
By default, header text is hidden. Use File > Page Setup to select the text to display in the
header.
Available fonts will vary from system to system, depending on what's installed. To use a new
font in EZwave, a system administrator must add the font to the system as well as the
font.properties file of the java package.
Note
Saving EZwave files only preserves font changes within the same work environment.
This is because fonts (type, size, and style) vary among different work environments.
Color changes are not affected by different systems and are preserved when saving.
The following describe the fields of the Axis Title dialog box:
Font: Select an available font from this dropdown list.
Font Size: Select a font point size from this dropdown list.
Font Style: This controls the font style of header text.
Color: Click on the colored box next to Color to open the Color Selection dialog box.
Choose a color and click OK to accept the color and return to the Header Text dialog
box.
Preview: This area displays a sample of what header text will look like with the current
selections.
EZwave Users and Reference Manual, 2009.2 346
Dialog Boxes
Histogram (Fonts and Colors)
Default: Click the Default button to restore the font and color of header text to the
default settings.
See Also
Histogram (Fonts and Colors)
You can modify the way histograms are displayed in EZwave graph windows. Use the
Edit > Options menu item to open the EZwave Display Preferences dialog box. Expand the
Fonts and Colors folder in the list on the left side of the dialog box to display a list of the
elements that you can customize. Click on Histogram in the list to display the histogram
options.
The following histogram options are available:
Pattern fill (Default)
This fills the histogram bars with a pattern.
Transparent
This option makes the histogram fill transparent. With this option selected, overlapping
histograms are shaded differently for better visualization of the distribution.
No fill
This option specifies that the histograms are not filled, allowing faster drawing of
histograms. This is particularly useful when the EZwave viewer is run remotely over the
network.
Axis Title (Fonts and Colors) Histogram (Fonts and Colors)
Axis Values (Fonts and Colors) Measurement Annotation (Fonts and Colors)
Axis Values (Smith Chart - Fonts and Colors) Text Annotation (Fonts and Colors)
Calculator Entry (Fonts and Colors) Waveform Colors (Fonts and Colors)
Cursor/Marker (Fonts and Colors) Waveform Name (Fonts and Colors)
Grid (Fonts and Colors) Window Background (Fonts and Colors)
Header Text (Fonts and Colors) Zero-Level Line (Fonts and Colors)
Dialog Boxes
Histogram Dialog Box
EZwave Users and Reference Manual, 2009.2 347
See Also
Histogram Dialog Box
This Histogram dialog box specifies the parameters for the histogram function used in the
Waveform Calculator. The histogram function creates a bar graph that displays the source
waveform in equal-sized intervals.
Figure 11-35. Histogram Dialog Box
The following describes the fields of the Histogram Dialog Box:
Source Waveform: Specifies the source waveform. Enter the name of the waveform or
use the Add Selected Waveform button .
Parameter Setup
Axis Title (Fonts and Colors) Histogram (Fonts and Colors)
Axis Values (Fonts and Colors) Measurement Annotation (Fonts and Colors)
Axis Values (Smith Chart - Fonts and Colors) Text Annotation (Fonts and Colors)
Calculator Entry (Fonts and Colors) Waveform Colors (Fonts and Colors)
Cursor/Marker (Fonts and Colors) Waveform Name (Fonts and Colors)
Grid (Fonts and Colors) Window Background (Fonts and Colors)
Header Text (Fonts and Colors) Zero-Level Line (Fonts and Colors)
EZwave Users and Reference Manual, 2009.2 348
Dialog Boxes
IFFT Input Dialog Box
o Minimum X Value: Specifies the X value at the lower bound of a window interval.
o Maximum X Value: Specifies the X value at the upper bound of a window interval.
o Number of Bins: Specifies the number of waveform divisions (resolution) to use.
The default is 10.
o Sampling: Specifies whether to Use Data Points or use Uniform Sampling.
Normalize Results: Specifies whether the results are normalized (that is, whether each
bin value is divided by the total number of points, resulting in bin values ranging from0
to 1).
Default: Resets the default values.
Apply: Checks the parameters.
IFFT Input Dialog Box
For any two signals in the frequency domain, it is possible to perform an Inverse Fast Fourier
Transform (IFFT). Refer to the FFT Input Dialog Box section for calculation details.
To invoke the Inverse Fast Fourier Transform dialog box, do the following:
1. Click on the Waveform Calculator icon, or select it from the Tools menu.
2. Select Signal Processing from the pulldown menu.
3. Click the IFFT button on the calculator keypad.
4. The Inverse Fast Fourier Transform dialog box opens.
Dialog Boxes
IFFT Input Dialog Box
EZwave Users and Reference Manual, 2009.2 349
Figure 11-36. Inverse Fast Fourier Transform Dialog Box
Source Waveform
Select the source waveformfromthe dropdown list or use the Add Selected Waveforms button
to add a waveform from an open Graph Window. Use the Clear Waveform List
button to remove all waveforms in the list.
Parameter Setup
This option controls the input for the transformation. Enter the following parameters:
Start Frequency - Start frequency for the signal.
Stop Frequency - Stop frequency for the signal.
Sampling Time - Sampling time.
EZwave Users and Reference Manual, 2009.2 350
Dialog Boxes
Layout (EZwave Display Preferences Dialog Box)
Number of Points - Number of sampling points.
Note
An IFFT analysis always creates results with an even number of points. This means that
when calculating results in conjunction with an FFT analysis, an even number of points
with the FFT must also be used if the following condition is to be fulfilled: IFFT
(FFT(signal)) = signal
Default - inserts a default set of parameters in the Input Parameters portion of the
window. These parameters give information about the sampling of the input data and the
range of the input signal which is used for the computation. If one of the above
parameters is missing, the missing parameters can be computed from the others. After
changing any parameter values it is always possible to retrieve the default values by
clicking on the Default Parameters button.
Normalized Input - If the Normalized button is clicked, all real and imaginary parts of
the result are divided by (Number of Points)/2 except for the first point, which is divided
by Number of Points.
Advanced
Click on the Advanced button to display additional advanced options that can be used to refine
the transformation.
Sampling
Use Data Points should be selected as default if the input data has equidistant Time
Steps, otherwise Uniform Sampling should be selected.
Data Padding
Pad with Zeros - Select this option to allow padding with zeros.
Status Message
This section will display any informational or error messages relating to the transformation.
Layout (EZwave Display Preferences Dialog Box)
Use the Edit > Options menu item to display the EZwave Display Preferences dialog box.
Choose Layout from the list on the left of the dialog box to access settings to control the layout
of the graph window.
Dialog Boxes
Measurement Annotation (Fonts and Colors)
EZwave Users and Reference Manual, 2009.2 351
X Axis Options
This option controls the location of the X axis, positioning it either above or below the area
where the waveforms are displayed.
Waveform Name Option
This option controls the location of the panel containing the waveform names, positioning it
either to the left or right of the area where the waveforms are displayed.
Measurement Annotation (Fonts and Colors)
You can modify the font and color of Measurement Tool annotations. Use the Edit > Options
menu item to open the EZwave Display Preferences dialog box. Expand the Fonts and Colors
folder in the list on the left side of the dialog box to display a list of the elements that you can
customize. Click on Measurement Annotation in the list to display the font and color options.
Available fonts will vary from system to system, depending on what's installed. To use a new
font in EZwave, a system administrator must add the font to the system as well as the
font.properties file of the java package.
Note
Saving EZwave files only preserves font changes within the same work environment.
This is because fonts (type, size, and style) vary among different work environments.
Color changes are not affected by different systems and are preserved when saving.
The following describe the fields of the Measurement Annotation dialog box:
Font: Select an available font from this dropdown list.
Font Size: Select a font point size from this dropdown list.
Font Style: This controls the font style of measurement annotations.
Color: Click on the colored box next to Color to open the Color Selection dialog box.
Choose a color and click OK to accept the color and return to the Measurement
Annotation dialog box.
Preview: This area displays a sample of what measurement annotations will look like
with the current selections.
Default: Click the Default button to restore the font and color of measurement
annotations to the default settings.
EZwave Users and Reference Manual, 2009.2 352
Dialog Boxes
Mouse Pointer (EZwave Display Preferences Dialog Box)
See Also
Mouse Pointer (EZwave Display Preferences
Dialog Box)
Use Edit > Options to display the EZwave Display Preferences dialog box. Choose Mouse
Pointer from the list on the left of the dialog box to control settings related to the mouse pointer.
Mouse Pointer Options
The Mouse Pointer Options section provides the following settings:
ShowTooltips - controls whether popup tooltip windows are used. These would be used
in areas such as the toolbar buttons, database and waveformnames in the WaveformList
panel and waveform names in the graph windows.
Change Color of Objects When Under Pointer - controls whether graph window
objects, such as axes or waveform names, change color when the mouse pointer is over
them.
Mouse Strokes
Mouse Strokes provide a convenient way to perform common tasks by enabling you to simply
draw shapes using the mouse. For example, drawing the letter "D" deletes the current set of
selected objects. Click the About Mouse Strokes button to get a quick overview on mouse
strokes without having to refer to the Help system.
Click the Select... button to choose the color that will appear on your screen when drawing
mouse strokes.
By default, mouse strokes are associated with the middle mouse button. For systems that do not
have a middle mouse button, select one or more keyboard modifiers from the Mouse Strokes
box in the EZwave Display Preferences dialog box (select Mouse Pointer from the list on the
left). Choose from the following modifier keys:
Axis Title (Fonts and Colors) Histogram (Fonts and Colors)
Axis Values (Fonts and Colors) Measurement Annotation (Fonts and Colors)
Axis Values (Smith Chart - Fonts and Colors) Text Annotation (Fonts and Colors)
Calculator Entry (Fonts and Colors) Waveform Colors (Fonts and Colors)
Cursor/Marker (Fonts and Colors) Waveform Name (Fonts and Colors)
Grid (Fonts and Colors) Window Background (Fonts and Colors)
Header Text (Fonts and Colors) Zero-Level Line (Fonts and Colors)
Dialog Boxes
Move Cursor Dialog Box
EZwave Users and Reference Manual, 2009.2 353
Alt
Control
Shift
All selected modifier keys must be pressed to activate the stroke.
Select the Require Confirmation Before Executing a Close Stroke checkbox to bring up a
confirmation dialog when the close stroke is executed. This can prevent windows from being
accidentally closed if another stroke (such as zoom in) was intended.
Move Cursor Dialog Box
The Move Cursor dialog box allows you to set the current location of the cursor.
To invoke this dialog box, add a cursor to an X-Y plot and use the cursor popup menu to select
Move To.
Figure 11-37. Move Cursor Dialog Box
Enter the new X location (or locations, separated by spaces) in the field. Select the unit of
measurement from the pull-down menu; this can be in hertz or femto-, pico-, nano-, micro-,
milli-, kilo-, mega-, giga-, or terahertz. If you add multiple cursors, the first one entered
becomes the active cursor.
Multiple Run (EZwave Display Preferences)
To access the Multiple Run dialog box, select Edit > Options to display the EZwave Display
Preferences dialog box. Choose Multiple Run from the list on the left of the dialog box to
control settings related to compound waveforms.
The Multiple Run dialog box contains the following options:
Display as Compound
This option specifies that compound waveforms are displayed as compound waveforms.
Display as Single Elements
EZwave Users and Reference Manual, 2009.2 354
Dialog Boxes
Phase Noise Dialog Box
This option specifies that compound waveforms are displayed with each individual
element (or sub-waveform) overlaid in different colors.
o Show Names With Run Parameters
This option specifies that run parameter names and values are displayed with each
waveformelement. This option is only available when Display as Single Elements is
selected.
Phase Noise Dialog Box
The Phase Noise dialog box specifies the parameters for the phase_noise function used in the
Waveform Calculator.
The phase_noise function calculates the phase noise of a transient analysis.
Figure 11-38. Phase Noise Dialog Box
The following describes the fields of the Phase Noise dialog box:
Source Waveforms: Specifies the source waveforms. Use the Add Selected Waveform
button to add the currently selected waveform. Use the Clear Waveform List
button to remove all waveforms in the list. The first waveform is the result of the
Dialog Boxes
Power Spectral Density
EZwave Users and Reference Manual, 2009.2 355
transient analysis of an oscillator, while the second is the RMS values of the noise
corresponding to the first waveform.
Output: Specifies the names of the output variables and waveform. These can be used
in later calculations. The result waveform has a frequency domain waveform and a dBc
range.
Parameter Setup
o Start Time: The first x value of a transient signal.
o Minimum Frequency: 1.0
o Maximum Frequency: (frequency of the derivative signal)/2
Minimum frequency and Maximum frequency represent the frequency band in which
the phase noise spectrum will be calculated.
Power Spectral Density
The Power Spectral Density dialog box specifies the parameters for the psd functions used in
the Waveform Calculator.
This dialog box accesses the power spectral density (PSD) of a signal waveform.
Two methods are available for calculating this quantity. The Correlogram Method means that
the FFT is used directly to compute estimates of the autocorrelation function Rxx(n) for N
auto
lags, where 2xN
auto
is the size of the transform used. The Periodogram Method means that a
sliding FFT is used to compute estimates of the PSD directory rather than estimating an
autocorrelation function.
Correlogram Method
This method uses the FFT directly to compute estimates of the autocorrelation function Rxx(n)
N
auto
lags, where 2 x N
auto
is the size of the transform used. For this, the program divides the
sampled input data set into K = (Nbpts + N
auto
) / (N
auto
+ 1) sections and the FFT routine
(2 x (N
auto
-1) points) is performed once on each section with the appropriate input data. The
autocorrelation result is then computed by performing an Inverse FFT (2 x (N
auto
-1) points)
after accumulating the partial results.
At this point, a spectral estimate with any desired degree of frequency resolution can be
obtained by augmenting the just computed R(n) or the first Ncorr N
auto
values with zeros and
performing a single FFT (2 x (N
psd
- 1) points).
EZwave Users and Reference Manual, 2009.2 356
Dialog Boxes
Power Spectral Density
Figure 11-39. Correlogram Method
In order to avoid the undesired effects of truncating data records in the PSD result, it is possible
to apply different kinds of smoothing windows on the autocorrelation result. Refer to the
Windowing Transform Dialog Box for more details about these options.
Periodogram Method
This method, uses a sliding FFT to compute estimates of the PSD directly rather than estimating
an autocorrelation function as in the Correlation method. The given sequence X(m), m=0, ...,
Nbpts 1 is first decomposed into subsequences Xr(m) of length Nsect with overlapping D =
Nsect/2. Each of them is shifted by the arithmetic mean of all the data.
1
where k = (Nbpts - D)/D is the number of sequences.
On each section, a window is then applied and the PSD is computed (FFT with 2 x (N
auto
1)
points) as a weighted sum of their periodograms (= | X
W
r(k)|
2
energy_of_window).
The inverse FFT (2 x (Nauto 1) points) is now used to estimate the autocorrelation function
from the PSD result.
1. The subtraction of xmean is implemented in order that the autocorrelation result is centered around y = 0.
This useful when using non-rectangular waveforms.
Correlogram
Method
W(n) if desired FFT
x(m) Rxx(n) Rwxx(n) Sxx(K)
m = 0, Nbpts 1 n = 0, , N
auto
1
K = 0, , N
psd
1
Input Data
Auto Correlation
Result
Windowing
Xr m ( ) x m r 1 ( )D + ( ) xmean r 1 ..., k , = =
Dialog Boxes
Power Spectral Density
EZwave Users and Reference Manual, 2009.2 357
Figure 11-40. Periodogram Method
If the user wishes to have more values for the PSD result than computed by default, an
additional FFT (2 x (N
psd
1) points) is executed on a sequence, generated by the first N
corr
autocorrelation values and a suitable number of zeros.
Decomposition in
subsequences & IFFT
x (m)
m = 0, Nbpts 1 n = 0, , N
auto
1
Input Data Windowed
Subsequences
PSD
windowing (if desired)
FFTs for r = 1, , k
& weighted sum of all
periodograms
{X
w
r (m)} Sxx (l) Rxx (n)
Autocorrelation
Result
r = 0, , k l = 0, Nbpts 1
EZwave Users and Reference Manual, 2009.2 358
Dialog Boxes
Power Spectral Density
Power Spectral Density Fields
Figure 11-41. Power Spectral Density Dialog Box
The following describes the fields of the Auto Correlation and Power Spectral Density dialog
box:
Source Waveform: Specifies the source waveform. Use the Add Selected Waveform
button to add the currently selected waveform. Use the Clear Waveform List
button to remove all waveforms in the list.
Dialog Boxes
Power Spectral Density
EZwave Users and Reference Manual, 2009.2 359
Output Waveform
o Power Spectral Density Name: Specifies the name for the PSD output waveform.
o Computation Method: Specifies whether the Correlogram Method or Periodogram
Method is to be used for calculating the PSD.
Parameter Setup
o Start time: Specifies the start time for the signal.
o Stop time: Specifies the stop time for the signal.
o Sampling frequency: Specifies the sampling frequency.
o Number of Points: Specifies the number of sampling points.
o Number of Points by Section: Specifies the number of points for the output
waveform.
o Number of Points for Auto Correlation Result: Specifies the number of points in
the AF result (N
auto
).
o Number of Auto Correlation Points: Specifies the number of autocorrelation
points used for the PSD computation.
o Number of Points for PSD result: Specifies the number of points for the PSD
result.
Advanced >>: Toggles whether the advanced options are shown. The following fields
are shown when the advanced options are visible:
o Output Options:
Reference Frequency: Option for the FFT used in calculating the PSD. Adjusts
results around the Y-axis so that the point for the specified reference frequency is
0.0.
Minimum Frequency: Option for the FFT used in calculating the PSD.
Specifies the starting frequency used to display the FFT results.
Maximum Frequency: Option for the FFT used in calculating the PSD.
Specifies the last frequency used to display the FFT results.
Normalize Results: Specifies that all results are divided by (Number of
Points)/2, except for the first point, which is divided by Number of Points.
o Improving FFT Results
Windowing: Specifies a windowing transform to apply to the output
waveform(s). Refer to the Windowing Transform Dialog Box for more details
about these options.
EZwave Users and Reference Manual, 2009.2 360
Dialog Boxes
Print Dialog Box
Use Data Points should be selected as default if the input data has equidistant
Time Steps; otherwise, Uniform Sampling should be selected.
Pad with Zeros: Select this option to allow padding with zeros.
Print Dialog Box
This dialog box allows you to select several options, including:
The destination printer (if you are not printing to a file)
The printing command (for example, lp -d %printer) if you are not printing to a file
The filename (if you are printing to a file)
Whether you want encapsulated PostScript
The paper size
The orientation (automatic, landscape, or portrait)
The number of copies
Whether to print only the Visible Waveforms or All Waveforms in the selected
window(s)
Whether to print the Active Window, All Windows in Workspace, One per Page in
the print job, or All Visible Windows, in One Page as they appear on screen
Whether to print the text (for example, axis labels) as a printer font or as image data
Dialog Boxes
Reorder Workspaces Dialog Box
EZwave Users and Reference Manual, 2009.2 361
Figure 11-42. Print Dialog Box
Use the File > Print menu item to send the visible data in your active Graph window to your
currently configured printer. You can also use CTRL + P or click in the toolbar.
Reorder Workspaces Dialog Box
Use the Reorder Workspaces dialog box to change the order of the workspace tabs.
EZwave Users and Reference Manual, 2009.2 362
Dialog Boxes
RF (EZwave Display Preferences Dialog Box)
To Adjust the Order of Your Workspaces
1. Invoke the Reorder Workspaces dialog box by right-clicking in the workspace and
selecting Reorder from the popup menu. The current workspaces appear in the
Workspace List field with the workspace in the rightmost tab being at the top of the list.
Figure 11-43. Reorder Workspaces Dialog Box
2. Use the Reorder buttons to change the order in which the workspaces are listed. The
Invert button reverses the order of the workspace list, so that the first workspace in the
list becomes the last, the second becomes the second to last, and so forth. The Move Up
button moves the selected workspace up the list by one, and the Move Down button
moves the selected workspace down the list by one.
3. Click OK to accept the new workspace order.
RF (EZwave Display Preferences Dialog Box)
Use Edit > Options to display the EZwave Display Preferences dialog box. Choose RF fromthe
list on the left of the dialog box to access RF settings for the waveform display.
Smith Chart
Select Plot Sxx-Parameters in a Smith Chart to automatically display S11 and S22
(scattering) parameters in a Smith Chart.
Dialog Boxes
Row (EZwave Display Preferences Dialog Box)
EZwave Users and Reference Manual, 2009.2 363
By default, Always consider waveforms as Sxx-parameters is selected. This displays
waveforms in the Smith Chart as the normalized impedance of a Sxx parameter. Otherwise,
waveforms are not considered as Sxx parameters and input waveforms are simply displayed in
the Smith Chart plane.
Use the radio buttons to select whether the Smith Chart defaults to an impedance or admittance
format.
Smith Chart Cursors
The radio buttons control whether the impedance/admittance display uses normalized or
characteristic impedance.
Stability/Noise Circles
Select Hide Stability/Noise Circles to hide circles by default.
Polar Chart
Select Plot Sxy-Parameters in a Polar Chart to automatically display S12 and S21
(scattering) parameters in a polar chart.
Use the radio buttons to select whether the default unit for the polar chart is degrees or radians.
Row (EZwave Display Preferences Dialog Box)
Use Edit > Options to display the EZwave Display Preferences dialog box. Choose Row from
the list on the left of the dialog box to control default row height for the waveform display.
Row Height
Analog Row Height
This option controls whether EZwave automatically determines the height for analog rows
based on the content of the row, or whether the rows are set at a fixed height. If Automatic is
selected, specify the minimum height for a row in pixels. If Fixed is selected, specify the fixed
height in pixels.
Digital Row Height
This option controls the fixed height of digital rows. Specify the row height in pixels.
Click Default to restore the settings to system defaults. Click Apply Now to apply the current
settings to open windows.
EZwave Users and Reference Manual, 2009.2 364
Dialog Boxes
Save (EZwave Display Preferences Dialog Box)
Y Axis Range
In VHDL-AMS, un-initialized values default to the following values:
1.0e308 for real signals
2147483648 for integer signals
922337203685477580 for time signals (femto seconds)
When plots are generated, these un-initialized values at t = 0 may cause the plot to have a Y axis
range that is too large in relation to the waveform. By selecting Ignore ADMS Uninitialized
Values, these values will be ignored when calculating the Y axis range for plots.
Note
These un-initialized values are only ignored when calculating the Y axis range of plots.
These values will still exist in the waveform data (adding a cursor at the location will
display the value) because it may be useful for you to know that there are un-initialized
values in the waveform.
Save (EZwave Display Preferences Dialog Box)
Use Edit > Options to display the EZwave Display Preferences dialog box. Choose Save from
the list on the left of the dialog box to access options relating to saving waveforms.
Save Window Management
The radio buttons control whether the File > Save command saves only the currently active
window, or all windows, by default. The Save Related Database checkbox controls whether
EZwave saves a window without creating a related wdb database. If this option is not selected,
when you want to save the active graph window to a swd file, EZwave creates and saves a new
wdb database file with only the waveforms that are plotted in the saved graph window or
windows. The Save in Tcl and Save in SWD options determine whether the saved files are by
default in Tcl format or SWD format. These settings can be overridden fromthe Save Windows
dialog box.
If the Show Option Dialog Before Saving checkbox is selected, the File > Save command
brings up the Save Windows dialog box before saving the selected window or windows.
Otherwise, if it is not selected, the window or windows are saved according to the options
selected in this dialog box.
Save As... Management
The following options control the behavior of the Save As command from the popup menus
accessible by right-clicking on waveforms, waveform names, or databases.
Dialog Boxes
Save (EZwave Display Preferences Dialog Box)
EZwave Users and Reference Manual, 2009.2 365
Save to ASCII Options
You can customize the output of ASCII files when using the Save As command with the
following options:
Field Separator
The radio buttons control what character will be used as a field separator when saving a
waveform to an ASCII file. Select Predefined and use the dropdown menu to choose
one of the predefined field delimiters (Tab, Space, or a comma [,]). To define your own
delimiter, select User defined and enter any series of characters in the text box.
Number of Digits of Precision
To control the size of the output file, select the level of precision in the save file with the
Number of Digits of Precision dropdown menu. You can select from 1 to 9 digits of
precision, or full precision.
Save Bus as Separated Bits
Select this option to save buses as separated bits.
Bus Radix
You can select to save a bus value instead of the values of the individual bits composing
the bus. To do this, clear the Save Bus as Separated Bits option.
Use the Bus Radix dropdown list to select the radix for saved buses. The radix can be
one of the following:
o Two's Complement
o Binary
o Hexadecimal
o Octal
o Unsigned Decimal
o Ascii
Show Option Dialog Before Saving
The Save As command normally brings up a dialog box prompting you to choose options for
the save file, including the X range and sampling data to be saved. To suppress this dialog box
and use the your defaults, clear the Show Option Dialog Before Saving option.
EZwave Users and Reference Manual, 2009.2 366
Dialog Boxes
Save As
Save As
The Save As dialog box allows you to select how much of the waveforms you are saving will be
recorded to the save file. This dialog appears when you use the Save As command from the
popup menus accessible by right-clicking on waveforms, waveform names, or databases.
To customize the Save As options, see Save (EZwave Display Preferences Dialog Box).
Location
In the File Name box, type in the name of the file to save, including the extension, or click
to browse for a path name and filename. Select the type of file from the dropdown list; the
following file types are available:
MGC Database Files (.swd)
SPICE PWL (.sti)
TXT (Text File) (.txt)
CSV (Comma delimited) (.csv)
Note
When saving a database as a SPICE PWL file (.sti), only waveforms that contain voltage
or current sources are saved. If a database contains waveforms with neither voltage or
current sources, those waveforms are ignored.
If the file already exists, select Overwrite existing file to save the new data over the old file.
Options
Select options controlling the range of data to save in the following fields.
X Range Setup
You can select to save a portion of the waveform according to the following choices:
The entire waveform(s)
The currently visible X region (only available for plotted waveforms)
The area between two cursors (only available for plotted waveforms)
Use the dropdown lists to select the two cursors to save between. All cursors on the waveform
selected are listed in the dropdown lists, even cursors that are not currently visible in the
window.
Dialog Boxes
Save Windows
EZwave Users and Reference Manual, 2009.2 367
The area between two specified X values
Enter the X values in the text boxes. If you enter a value that is beyond the range of the plotted
waveform, the value is set to the minimum or maximum value, as appropriate.
Data Sampling
Select one of the following options for data sampling in the saved database:
Use Data Points - Save only existing waveformdata points. No interpolation or filtering
is performed.
UniformSampling - Save data points based on a sampling of the waveform. When you
select this option, an additional field appears, allowing you to enter a sampling value and
select a sampling unit from a dropdown list for each X-axis unit being saved.
At Cursor Locations - Use the cursors on the waveform(s) being saved as the data
points. When you select this option, an additional field appears, allowing you to select
the cursors to use. Use Shift + Click to select a range of cursors, or Control + Click to
select multiple individual cursors.
See Also
Save As... Management
Save Windows
The Save Windows dialog allows you to select what portions of the currently open windows
you want to save. Access the Save Windows dialog box by selecting File > Save from the
menu bar.
Figure 11-44. Save Windows Dialog Box
EZwave Users and Reference Manual, 2009.2 368
Dialog Boxes
Set Reference Y Axis
File Name Specifies the name of the file in which to save the window(s).
Overwrite existing file If a file with the same path and name exists, it will be
overwritten..
Save in Tcl Save the window(s) in Tcl format.
Save in SWD Save the window(s) in SWD format.
Active Window Only only the currently active window is saved.
All Windows All currently open windows are saved.
Save Related Database Save a database corresponding to the waveforms in the
window or windows being saved. This file is saved with a .wdb extension.
Set Reference Y Axis
Overlaid waveforms may have different Y axes with different scales. Use the Set Reference Y
Axis dialog box to specify how to align these axes and to specify the axes marker spacing for
easier viewing. Access the Set Reference Y Axis dialog box by right-clicking on an axis and
selecting Set as Reference Axisfrom the popup menu. This popup menu item is only visible
if there are two different Y axes for the overlaid waveforms.
See also Aligning Y Axes With Different Scales.
Figure 11-45. Set Reference Y Axis Dialog Box
Reference Y Axis
This area specifies the values for the reference Y axis as follows:
o Y*
The label for this field varies, depending on which Y axis you are setting as the
reference axis. The Y value you specify in this field will be the value to which the
other Y axes will be aligned.
o Spacing
Dialog Boxes
Select Datasets
EZwave Users and Reference Manual, 2009.2 369
Specify in this field the spacing for the axis markers displayed on the reference Y
axis. For example, if you type in 10.0M, each axis marker will be separated by 10M
units.
Y Axis
This area specifies the values for all non-reference Y axes as follows:
o Y*
The label for this field varies, depending on the non-reference Y axes. The Y value
you specify in this field is the value that will be aligned to the reference Y value.
o Spacing
Specify in this field the spacing for the axis markers displayed on the non-reference
Y axis. For example, if you type in 10.0M, each axis marker will be separated by
10M units.
Select Datasets
The Select Datasets dialog box is part of the Waveform Compare Tool. Use it to specify the
reference and test datasets. It can be accessed by selecting Tools > Waveform Compare >
Start Comparison
Note
Select Datasets is also the first dialog box in the Waveform Compare Wizard. When you
access Select Datasets fromthe WaveformCompare Wizard, a Next button is visible and
advances the wizard to the next dialog box.
Figure 11-46. Select Datasets Dialog Box
The following describe the fields in the Select Datasets dialog box:
EZwave Users and Reference Manual, 2009.2 370
Dialog Boxes
Select Hierarchy
Choose Reference Dataset from List or Disk
Specifies the reference dataset. Use the dropdown list to choose frompreviously opened
databases or click on the icon to open the file browser to choose a different database.
Choose Test Database From List or Disk
Specifies the test dataset. By default, the last opened database is used as the test dataset
(Use Current Database (database_name)). To specify a different database as the test
dataset, select Specify Database, and use the dropdown list or file browser to choose the
database.
Select Hierarchy
The Select Hierarchy dialog box is part of the Waveform Compare Tool. Use it to specify the
reference and test hierarchies for waveformcomparison. It can be accessed by selecting Tools >
Waveform Compare > Add > Compare by Hierarchy.
Note
Select Hierarchy is also in the Waveform Compare Wizard. When you access Select
Hierarchy from the Waveform Compare Wizard, a Next button is visible and advances
the wizard to the next dialog box.
Figure 11-47. Select Hierarchy Dialog Box
The following describe the fields in the Select Waveforms dialog box:
Reference Hierarchy Level
Dialog Boxes
Select Waveforms
EZwave Users and Reference Manual, 2009.2 371
Specifies the reference design hierarchy for wave comparison. To specify the hierarchy,
select the hierarchy from the reference database in the upper part of the Waveform List,
and click the Add Selected Hierarchies button .
Test Hierarchy Level
By default, the Waveform Compare Tool searches the test database for hierarchies with
the same name as the reference hierarchy name. If you want to choose a test hierarchy
with a different name, select Specify a different name for test Hierarchy Level. This
makes available the fields from which you can choose the test hierarchy level.
Compare Waveforms of Type
Specifies the types of waveforms to include in the comparison.
Recursive Search
Specifies that the Waveform Compare Tool searches the hierarchies recursively during
the waveform comparison.
Select Waveforms
The Select Waveforms dialog box is part of the Waveform Compare Tool. Use it to specify the
reference and test waveforms for comparison. It can be accessed by selecting Tools >
Waveform Compare > Add > Compare by Waveforms.
Note
Select Waveforms is also in the Waveform Compare Wizard. When you access Select
Waveforms from the Waveform Compare Wizard, a Next button is visible and advances
the wizard to the next dialog box.
EZwave Users and Reference Manual, 2009.2 372
Dialog Boxes
Select Waveforms
Figure 11-48. Select Waveforms Dialog Box
The following describe the fields in the Select Waveforms dialog box:
Add Waveforms from Reference Database
Specifies the waveforms from the reference database to compare. The white area on the
left contains the list of reference waveforms to compare. Associated with this list are the
following buttons:
o Add
Opens the Add Waveforms dialog box. Fromthe Add Waveforms dialog box, select
the reference waveforms from the reference database(s).
o Remove
Removes the selected waveform from the list on the left of the Select Waveforms
dialog box.
o Move Up
Moves the selected waveform from the list on the left up one spot. The order of the
list only matters if the Take Corresponding Waveforms in Test Database is
unchecked.
o Move Down
Moves the selected waveform from the list on the left down one spot. The order of
the list only matters if the Take Corresponding Waveforms in Test Database is
unchecked.
Dialog Boxes
Signal to Noise Dialog Box
EZwave Users and Reference Manual, 2009.2 373
Add Waveforms from Test Database
By default, the Waveform Compare Tool searches the test database for waveforms with
the same names as those listed from the reference database. In this default case, the
Take Corresponding Waveforms in Test Database is selected, and its corresponding
fields are unavailable.
If you want to compare waveforms with different names in the reference and test
databases, uncheck Take Corresponding Waveforms in Test Database. This enables
the rest of the fields on the right side of the Select Waveforms dialog box. In this case,
after youve added waveforms from the test database, you must reorder them in the
order in which you want to compare with the reference waveforms.
The following options are available for selection of the test waveforms:
o Take Corresponding Waveforms in Test Database
Specifies that the Waveform Compare Tool compares waveforms from the test
database with the same names as those from the reference database.
o Add
Opens the Add Waveforms dialog box. Fromthe Add Waveforms dialog box, select
the test waveforms from the test database(s). This option is only available if Take
Corresponding Waveforms in Test Database is unchecked.
o Remove
Removes the selected waveform from the list of test waveforms. This option is only
available if Take Corresponding Waveforms in Test Database is unchecked.
o Move Up
Moves the selected waveform from the list on the left up one spot. This option is
only available if Take Corresponding Waveforms in Test Database is unchecked.
o Move Down
Moves the selected waveform from the list on the left down one spot. This option is
only available if Take Corresponding Waveforms in Test Database is unchecked.
Signal to Noise Dialog Box
The Signal To Noise dialog box specifies the parameters for the snr() function used in the
Waveform Calculator. This function computes the signal to noise ratio of the input waveform
signal by using the Gain of the FFT result. Only a complex waveform or a waveform
representing a Gain is accepted as a valid source waveform.
EZwave Users and Reference Manual, 2009.2 374
Dialog Boxes
Signal to Noise Dialog Box
In digital signal analysis, it is often of interest to compute, for a signal composed of the sum of
noisy sinusoids, the following relationship:
where:
= sum over all squares of amplitudes of the sinusoidal frequencies
= sum over all squares of noise amplitudes of the signal
This is called the Signal to Noise Ratio of the signal.
Figure 11-49. Signal to Noise Ratio Dialog Box
10 10
AS i ( )
2

AN j ( )
2

-----------------------------



log
AS i ( )
2

AN j ( )
2

Dialog Boxes
Text Annotations (EZwave Display Preferences Dialog Box)
EZwave Users and Reference Manual, 2009.2 375
The following describes the fields of the Signal To Noise dialog box:
Source Waveform
Specifies the source waveforms. Use the Add Selected Waveform button to add
the currently selected waveform. Use the Clear Waveform List button to remove
all waveforms in the list. The input waveformis always assumed to be a linear gain (i.e.,
magnitude).
Parameter Setup
o Minimum Frequency: Specifies the minimum frequency to be used in the
calculation. If no minimum and maximum are specified, the computation is applied
over the entire waveform.
o Maximum Frequency: Specifies the maximum frequency to be used in the
calculation. If no minimum and maximum are specified, the computation is applied
over the entire waveform.
o Default: Click this button to restore the minimumand maximumfrequencies to their
defaults.
o Pick Points: Select this checkbox to click points on the waveform to be used in the
frequency list. Each point selected appears in the Frequency List. A horizontal and
vertical line mark the "pick points" mode in the waveform viewer.
o Pick from Cursors: Use the cursors on the selected waveform to populate the
frequency list.
o Frequency List: Select Pick Points to add frequencies to the list by clicking on the
waveform. Select Pick from Cursors to add the frequencies at the cursors on the
specified waveform to the list. You can also type the frequencies in manually.
o Clear List: Click this button to clear the frequency list.
Text Annotations (EZwave Display Preferences
Dialog Box)
Use the Edit > Options menu item to display the EZwave Display Preferences dialog box.
Choose Text Annotations fromthe list on the left of this dialog box to access global annotation
display options for the waveform display.
Hide Annotation Anchor Symbols
This option controls whether the product displays annotation anchor symbols.
EZwave Users and Reference Manual, 2009.2 376
Dialog Boxes
Text Annotation (Fonts and Colors)
Show Vertical Line at Anchor Position
This option toggles the visibility of a vertical line across the waveform at the selected position.
Expand Digital Row Height
This option expands the default digital row height when annotations are added to a digital
waveform.
Text Annotation (Fonts and Colors)
You can modify the font and color of text annotations in EZwave graph windows. Use the
Edit > Options menu item to open the EZwave Display Preferences dialog box. Expand the
Fonts and Colors folder in the list on the left side of the dialog box to display a list of the
elements that you can customize. Click on Text Annotation in the list to display the font and
color options.
Available fonts will vary from system to system, depending on what's installed. To use a new
font in EZwave, a system administrator must add the font to the system as well as the
font.properties file of the java package.
Note
Saving EZwave files only preserves font changes within the same work environment.
This is because fonts (type, size, and style) vary among different work environments.
Color changes are not affected by different systems and are preserved when saving.
The following describe the fields of the Text Annotation dialog box:
Font: Select an available font from this dropdown list.
Font Size: Select a font point size from this dropdown list.
Font Style: This controls the font style of text annotations.
Color: Click on the colored box next to Color to open the Color Selection dialog box.
Choose a color and click OK to accept the color and return to the Text Annotation
dialog box.
Preview: This area displays a sample of what text annotations will look like with the
current selections.
Default: Click the Default button to restore the font and color of text annotations to the
default settings.
Dialog Boxes
Transformations (EZwave Display Preferences Dialog Box)
EZwave Users and Reference Manual, 2009.2 377
See Also
Transformations (EZwave Display Preferences
Dialog Box)
Use Edit > Options to display the EZwave Display Preferences Dialog Box. Choose
Transformations from the list on the left of the dialog box.
Use the checkboxes to select one or more transformations that will be applied when a complex-
valued waveform is plotted. If more than one transformation is selected, choose the Selected
Transformation Should be Plotted Overlaid checkbox to have all of the transformed
waveforms plotted in the same row (overlaid) if desired. Otherwise, the waveforms will each be
plotted in separate rows. This selection does not apply to complex-plane plots, polar charts, and
Smith Charts.
Transformation Checkboxes
To assist in the analysis of complex waveforms, check boxes are provided for the default
display of transformations. Selections are defined as follows:
Axis Title (Fonts and Colors) Histogram (Fonts and Colors)
Axis Values (Fonts and Colors) Measurement Annotation (Fonts and Colors)
Axis Values (Smith Chart - Fonts and Colors) Text Annotation (Fonts and Colors)
Calculator Entry (Fonts and Colors) Waveform Colors (Fonts and Colors)
Cursor/Marker (Fonts and Colors) Waveform Name (Fonts and Colors)
Grid (Fonts and Colors) Window Background (Fonts and Colors)
Header Text (Fonts and Colors) Zero-Level Line (Fonts and Colors)
Table 11-1. Transformation Checkboxes
Setting Description
dB The magnitude of each point of the complex-valued
waveform calculated in decibels (20 * log (waveform))
Magnitude The square root of (re
2
+ im
2
) for each point of the
complex-valued waveform.
Real The real component of each point in the complex-valued
waveform.
Imaginary The imaginary component of each point in the complex-
valued waveform.
EZwave Users and Reference Manual, 2009.2 378
Dialog Boxes
Transformations (EZwave Display Preferences Dialog Box)
Supported Digital Waveform Transformations
This allows you to define transformations to be associated with a digital enumerated type.
Click the New button to bring up the Edit Digital Transformation dialog box. Enter the
transformation name, source type, and destination type in this dialog box.
Select a transformation and click the Edit button to change the transformation details.
This also brings up the Edit Digital Transformation dialog box.
Select a transformation and click the Delete button to remove that transformation.
Choose the Apply by Default option for a particular transformation to have that
transformation automatically apply to appropriate waveforms when they are plotted.
Otherwise, you can apply the transformation by right-clicking the waveform and
selecting Transformations > transformation_name from the popup menu.
Supported Complex-Valued Waveform Transformations
Because they are being represented in two dimensions, complex-valued waveforms must have a
transformation applied to their data before they can be displayed in a graph window. These
transformations can:
Phase The phase of each point in the complex-valued waveform.
All phase angles will be between -180 degrees and 180
degrees (-PI radians and PI radians).
Continuous Phase
(Cphase)
The phase of each point in the complex-valued waveform
that includes an accumulated phase angle from the
previous points. Unlike the Phase transformation, this
transformation does not include a phase discontinuity at
the 180 degree boundaries. This transformation is useful
when analyzing waveforms with more than +/- 180
degrees of phase shift.
Complex Plane The complex plane is spanned by the vectors "1" and "i",
where i is the imaginary number. A complex number
z=a+ib can be associated to a unique point (a,b) in the
complex plane, and a complex-valued waveform of a
collection of complex numbers can be represented as a
curve (not necessarily monotonic) in the complex plane.
Smith Chart The complex-valued waveform is plotted on a Smith
Chart.
Polar Chart The complex-valued waveform is plotted in a polar
display of a Smith Chart.
Table 11-1. Transformation Checkboxes
Dialog Boxes
Waveform
EZwave Users and Reference Manual, 2009.2 379
Separate the waveform into:
o Real and imaginary parts
o Gain (dB) and phase parts
o Magnitude and phase parts
o Any combination or single element of these
Plot value pairs of complex values against each other in charts such as:
o Complex plane plots
o Polar charts
o Smith Charts
This allows the waveformto be displayed in a variety of methods, showing as much information
about the individual points as you want.
Complex-Valued Waveforms
Some waveforms encode complex number valuesthat is, values that consist of a real part and
an imaginary part. Because of the nature of complex values, these waveforms cannot be
displayed directly as a two-dimensional waveform against another axis (such as frequency in
Hz); they must have a transformation applied to them to display all of their values. This
transformation can either involve plotting waveforms to represent the portions of the complex
values (such as real part, imaginary part, gain, magnitude, and phase), or it can associate the
value pairs together in graphs like a complex plane plot, polar chart, or Smith Chart.
The Eldo simulator outputs complex values in a variety of formats. It can output a complex-
valued waveform, containing both the real and imaginary parts; it can output pairs of
waveforms, such as real and imaginary, or gain (dB) and phase; or it can output parts of
complex values, such as just the real part, just the phase, or the gain and imaginary parts
together. If the simulator outputs waveform pairs, these must be reconstructed as a single
complex-valued waveform before further analysis (for example, interactive measurement on a
Smith Chart) and/or post-processing can be performed on them. In order to reconstruct
waveform pairs as a complex-valued waveform, use the complex() function from the
Waveform Calculator.
For more information about how the Eldo simulator outputs complex values, refer to the Eldo
User's Manual.
Waveform
Use Edit > Options to display the EZwave Display Preferences dialog box. Select Waveform
from the list on the left of the dialog box.
EZwave Users and Reference Manual, 2009.2 380
Dialog Boxes
Waveform Calculator (EZwave Display Preferences Dialog Box)
Analog Waveforms
It may be useful to extend the last data point of an analog waveform to infinity (a horizontal
line).
For example, you want to compare a reference waveform(with data from0 to 100 ns) to a result
waveform(with data from0 to 15 ns). If you add a cursor to the overlaid plot of the waveforms,
you can see both Y values for any given X value less than 15 ns. If you move the cursor beyond
15 ns and zoom in, the result waveform values are no longer displayed. This is the default
behavior.
If you select Extend last analog waveform data point to infinity, the last data point is
extended to infinity. In the example, this would cause the last data point in the result waveform
to extend horizontally to infinity (as well as the reference waveform). Now if you zoomed in
beyond 15 ns (and even beyond 100 ns), you would see the Y value of the last data points for
both waveforms.
Waveform Calculator (EZwave Display
Preferences Dialog Box)
Use Edit > Options to display the EZwave Display Preferences dialog box. Expand the
Waveform Calculator folder in the list on the left of the dialog box to view the three sets of
options to control the calculator settings. You may also use Edit > Options from within the
Waveform Calculator to directly access the expanded Waveform Calculator folder.
The expanded WaveformCalculator folder contains three sets of options: Calculations, General,
and View.
Calculations
Click Calculations under the expanded Waveform folder on the left side of the EZwave
Display Preferences to see the following option:
FFT Precision
The Sampling Epsilon value is used in the uniform sampling algorithm used in signal
processing functions. EZwave uses this value to determine whether it needs to perform
interpolation between X values of the waveform at each t+dt sampling point. The
sampling epsilon value is relative to the scale of the waveform being sampled.
General
Click General under the expanded Waveform folder on the left side of the EZwave Display
Preferences to see the following options:
Dialog Boxes
Waveform Calculator (EZwave Display Preferences Dialog Box)
EZwave Users and Reference Manual, 2009.2 381
Expression Evaluation Logic
o Infix: An entry and evaluation mode in which the user enters the entire expression to
the calculator and then presses the carriage return or Eval button to cause the
expression to be evaluated.
o RPN: (To Be Supported) Reverse Polish Notation. An entry and evaluation mode in
which the user enters operands onto the stack and then selects an operator. At that
point, enough operands are pulled from the stack to satisfy the needs of the operator
and the result is calculated.
o RPN-deferred: (To Be Supported) An entry and evaluation mode in which the user
enters operands onto the stack, and then selects an operator. At that point, enough
operands are pulled from the stack to satisfy the needs of the operator, and an infix
version of the RPN expression is created but not evaluated. The evaluation does not
occur until the user presses the carriage return or Eval button. This method lets users
use RPN to build expressions, but those expressions are generated as infix strings
and are not evaluated until the user is ready.
Trigonometric Angle
o Degrees: A unit of angular measure in which the angle of an entire circle is 360
degrees (denoted DEG).
o Radians: A unit of angular measure in which the angle of an entire circle is 2*pi
radians (denoted RAD).
o Gradians: A unit of angular measure in which the angle of an entire circle is 400
gradians (denoted GRAD).
Temperature Unit
o Select the measurement unit for temperature display: Celsius or Kelvin.
Logic Panel Type
o Select VHDL to use VHDL-style notation. Select Verilog to use Verilog-style
notation.
Evaluation Result Display
o Select Clear Last Result if you want to clear the Expression Entry Area each time
after the expression is evaluated. This is equivalent to clicking Eval and Clear.
o Select Always Plot Last Result if you want to always plot the resulting waveform
after the expression is evaluated. This is equivalent to clicking Eval and Plot. This
option is on by default.
Reset Variables
o Click the Reset button to remove all existing variables in the calculator.
EZwave Users and Reference Manual, 2009.2 382
Dialog Boxes
Waveform Calculator (EZwave Display Preferences Dialog Box)
User Extension Files
o Select the box to Load User Extension Files At Startup.
o Define the default path for loading all of the user extension files in the Directory
path text box.
Note
The user extension files in the specified directory must have a .tcl extension in order to
load.
View
Click View under the expanded Waveform folder on the left side of the EZwave Display
Preferences to see the following option:
Wizard Dialogs
By default, any Waveform Calculator function with more than three arguments will
display a wizard dialog box to assist in setting up the arguments. To enter arguments for
functions without using the wizard dialog boxes, clear Enable Wizard Dialogs (fft,
ifft, ).
Waveform Calculator Window
By default, the Waveform Calculator is opened as a child window. Select Waveform
Calculator in Independent Window to make the Waveform Calculator open in an
independent window.
Emacs Key Binding
By default, Emacs-like text cursor navigation shortcuts are not enabled. Select Enable
Emacs Navigating Shortcuts in the Entry Field to enable use of Emacs shortcuts in
the Waveform Calculator entry field.
Table 11-2. Emacs-Like Key Bindings
Key Binding Description
CTRL+a Go to beginning of line
CTRL+b Go back one character
CTRL+d Delete next character
CTRL+e Go to end of line
CTRL+f Go forward one character
CTRL+k Delete until the end of line
CTRL+y Paste
Dialog Boxes
Waveform Colors (Fonts and Colors)
EZwave Users and Reference Manual, 2009.2 383
Function Name Completion
Select Enable Function Name Completion in Entry Field to display the possible
function names as you type in the Waveform Calculator Entry field.
Waveform Colors (Fonts and Colors)
Access the Waveform Colors option by selecting Edit > Options from the menu bar. This
option specifies whether to keep the waveformcolors consistent across different color schemes.
Select Color Schemes share the same Waveform Colors Palette to keep waveform colors
consistent, regardless of the color scheme being used (with the exception of monochrome). This
is the default setting.
If this option is not selected, when you change color schemes, waveform colors may change for
better contrast against the different backgrounds.
See Also
Waveform Compare
Use Edit > Options to display the EZwave Display Preferences dialog box. Select Waveform
Compare from the list on the left of the dialog box.
Update Waveforms
The Allow Partial Match option specifies that when comparing waveforms,
CTRL+w Delete the selection
Axis Title (Fonts and Colors) Histogram (Fonts and Colors)
Axis Values (Fonts and Colors) Measurement Annotation (Fonts and Colors)
Axis Values (Smith Chart - Fonts and Colors) Text Annotation (Fonts and Colors)
Calculator Entry (Fonts and Colors) Waveform Colors (Fonts and Colors)
Cursor/Marker (Fonts and Colors) Waveform Name (Fonts and Colors)
Grid (Fonts and Colors) Window Background (Fonts and Colors)
Header Text (Fonts and Colors) Zero-Level Line (Fonts and Colors)
Table 11-2. Emacs-Like Key Bindings (cont.)
Key Binding Description
EZwave Users and Reference Manual, 2009.2 384
Dialog Boxes
Waveform Compare Wizard
Waveform Compare Wizard
The Waveform Compare Wizard is a series of dialog boxes that step you through the EZwave
Waveform Comparison process. Select Tools > Waveform Compare > Comparison
Wizard to access the Waveform Compare Wizard.
The Waveform Compare Wizard steps you through each dialog box as shown in Figure 11-50.
For information on the individual dialog boxes, click on the appropriate box in the figure.
For detailed information on using the Waveform Compare Wizard, see Using the Waveform
Compare Wizard on page 92.
Note
The series of dialog boxes in the Waveform Compare Wizard can also be accessed
manually. See Manually Comparing Waveforms on page 99.
Dialog Boxes
Waveform List (EZwave Display Preferences Dialog Box)
EZwave Users and Reference Manual, 2009.2 385
Figure 11-50. The Waveform Compare Wizard Flow
Waveform List (EZwave Display Preferences
Dialog Box)
To modify how the Waveform List Panel is displayed, choose Edit > Options and select
Waveform List from the list on the left side of the EZwave Display Preferences dialog box.
Use the Following View by Default
By default, the Waveform List Panel displays the waveform names in a Tree View. To
change the default display to a list view, select List View.
Separate Tree View Into Structure and Waveform List Views
Waveform Compare
Select Datasets
Comparison
Method?
Select Waveforms Select Hierarchy
Add More
Waveforms?
Compute Differences
Yes
No
Compare All By Hierarchy
By Waveform
EZwave Users and Reference Manual, 2009.2 386
Dialog Boxes
Waveform Name (Fonts and Colors)
Select this option to divide the Tree View into two panels. The top panel is called the
Structure List and displays the hierarchical structures of the waveform database. The
bottompanel is called the WaveformList and displays the list of waveforms that belong
to the selected structures. This option is selected by default.
Plot Hierarchical Nodes Stacked When Dragging and Dropping
If this option is selected, when you drag and drop a hierarchical node from the Structure
List to the workspace or a Graph window, the waveforms are stacked. If this option is
cleared, plotted nodes are overlaid. By default, waveforms are stacked.
Show Only Databases Related to Active Workspace
Select this to list only the databases that are related to the currently active workspace. By
default, all databases are shown.
Sort the Waveform List in a Case-Insensitive Way
Select this to disregard case when sorting the Waveform List. By default, the
alphabetically-sorted Waveform List considers case.
Show Hidden Waveforms
By default, waveforms that are hidden in the databases are not shown in the Waveform
List Panel. Select this option to show hidden waveforms in the Waveform List Panel.
Note
Showing hidden waveforms in the Waveform List Panel does not make them visible in
the Graph windows.
Disable Automatic Search While Typing Text
Specifies whether to search the waveform lists while you type in the Contains text
fields. This text field appears in the Waveform List panel and by default, automatic
searching is enabled. While automatic searching is enabled, it will showonly waveforms
that match the string (or partial string) that you type while you type. If automatic
searching is disabled, you must press Enter to perform the search.
Waveform Name (Fonts and Colors)
You can modify the font and color of waveform names in EZwave graph windows. Use the
Edit > Options menu item to open the EZwave Display Preferences dialog box. Expand the
Fonts and Colors folder in the list on the left side of the dialog box to display a list of the
elements that you can customize. Click on Waveform Name in the list to display the font and
color options.
Available fonts will vary from system to system, depending on what's installed. To use a new
font in EZwave, a system administrator must add the font to the system as well as the
font.properties file of the java package.
Dialog Boxes
Waveform Properties
EZwave Users and Reference Manual, 2009.2 387
Note
Saving EZwave files only preserves font changes within the same work environment.
This is because fonts (type, size, and style) vary among different work environments.
Color changes are not affected by different systems and are preserved when saving.
The following describe the fields of the Waveform Name dialog box:
Font: Select an available font from this dropdown list.
Font Size: Select a font point size from this dropdown list.
Font Style: This controls the font style of waveform names.
Color: Click on the colored box next to Color to open the Color Selection dialog box.
Choose a color and click OK to accept the color and return to the Waveform Name
dialog box.
Preview: This area displays a sample of what waveform names will look like with the
current selections.
Default: Click the Default button to restore the font and color of waveformnames to the
default settings.
See Also
Waveform Properties
The Waveform Properties dialog box controls the appearance, displays parameters, and
transformations applied to waveforms. To access the Waveform Properties dialog box, right-
click one or more selected waveforms to display the pop-up menu, and select Properties
The Waveform Properties dialog box functions differently depending on whether you had one
waveform selected or whether you had multiple waveforms selected.
Waveform Properties With One Waveform Selected
Axis Title (Fonts and Colors) Histogram (Fonts and Colors)
Axis Values (Fonts and Colors) Measurement Annotation (Fonts and Colors)
Axis Values (Smith Chart - Fonts and Colors) Text Annotation (Fonts and Colors)
Calculator Entry (Fonts and Colors) Waveform Colors (Fonts and Colors)
Cursor/Marker (Fonts and Colors) Waveform Name (Fonts and Colors)
Grid (Fonts and Colors) Window Background (Fonts and Colors)
Header Text (Fonts and Colors) Zero-Level Line (Fonts and Colors)
EZwave Users and Reference Manual, 2009.2 388
Dialog Boxes
Waveform Properties
Waveform Properties With Multiple Waveforms Selected
Waveform Properties With One Waveform Selected
The Waveform Properties dialog box with only one waveform selected contains the following
three tabs:
Appearance Tab
Parameters Tab
Transformations Tab
Appearance Tab
Figure 11-51. Appearance Tab for an Analog Waveform
Dialog Boxes
Waveform Properties
EZwave Users and Reference Manual, 2009.2 389
Figure 11-52. Appearance Tab for a Digital Waveform
Use the Appearance tab to select the way you want waveforms displayed in the graph window.
Note
Digital waveforms do not have line style, line width, or data point options.
Waveform Name
Use the text box to enter a unique name for the waveform. The user-defined name will
appear in the display and on the tooltip display. (The tooltip display will also show the
full name of the waveformderived fromthe database.) Use the Default button located to
the right of the text box to reset the waveform name to the full name derived from the
database.
Visual Effects
This section controls the visual attributes for the waveform, including the line color, line
style, and line thickness. There is also an option to select a graphic symbol to display at
the location of each data point. The line style, line thickness, and data point options are
only available for analog waveforms. If the line color is changed, it applies only to that
individual display of the wave; further displays will revert to the default color.
Note
Since color is used to differentiate some states having the same shape, this can result in
the loss of visual information in the waveform. This can be addressed by using a cursor to
access the value or by reverting to the automatic color setting (digital waveforms only).
Digital Radix
This section controls the radix used for displaying waveform state values. It is only
available for digital buses or analog waveforms containing integer data.
EZwave Users and Reference Manual, 2009.2 390
Dialog Boxes
Waveform Properties
Hide Waveform
This option to temporarily hides the display of the waveform data (the waveform name
is not hidden).
Parameters Tab
The Properties menu item from the Waveform popup menu opens the Waveform Properties
dialog box. Use the Parameters tab to set the Creation Date and Creation Time of the
waveform.
Figure 11-53. Parameters Tab
Transformations Tab
The Properties menu item from the Waveform popup menu displays the Waveform Properties
dialog box. Click the Transformation tab to change the transformation you wish to apply to the
waveform.
Dialog Boxes
Waveform Properties
EZwave Users and Reference Manual, 2009.2 391
Figure 11-54. Transformations Tab
Transformation Checkboxes
To change which transformation is applied to the waveform, click the checkbox in the Apply
column. Selections are defined as follows:
Table 11-3. Transformation Checkboxes
Setting Description
dB The magnitude of each point of the complex waveform
calculated in decibels (20 * log (waveform))
Magnitude The square root of (re
2
+ im
2
) for each point of the
complex waveform.
Real The real component of each point in the complex
waveform.
Imaginary The imaginary component of each point in the complex
waveform.
Phase The phase of each point in the complex waveform. All
phase angles will be between -180 degrees and 180
degrees (-PI radians and PI radians).
Continuous Phase The phase of each point in the complex waveform that
includes an accumulated phase angle from the previous
points. Unlike the Phase transformation, this
transformation does not include a phase discontinuity at
the 180 degree boundaries. This transformation is useful
when analyzing waveforms with more than +/- 180
degrees of phase shift.
EZwave Users and Reference Manual, 2009.2 392
Dialog Boxes
Waveform Properties
Waveform Properties With Multiple Waveforms Selected
With multiple waveforms selected, the Waveform Properties dialog box is split into three tabs,
Analog Waveform Properties, Digital Waveform Properties, and Radix Waveform Properties
(for buses).
Analog Waveforms Tab
Digital Waveforms Tab
Radix Waveforms Tab
Analog Waveforms Tab
Figure 11-55. Analog Waveform Properties Tab
Visual Effects
Complex Plane The complex plane is spanned by the vectors "1" and "i",
where i is the imaginary number. A complex number
z=a+ib can be associated to a unique point (a,b) in the
complex plane, and a complex waveformof a collection of
complex numbers can be represented as a curve (not
necessarily monotonic) in the complex plane.
Smith Chart The complex-valued waveform is plotted on a Smith
Chart.
Polar Chart The complex-valued waveform is plotted in a polar
display of a Smith Chart.
Table 11-3. Transformation Checkboxes (cont.)
Dialog Boxes
Waveform Properties
EZwave Users and Reference Manual, 2009.2 393
This section controls the visual attributes for the waveform, including the line color, line
style, and line thickness. There is also an option to select a graphic symbol to display at
the location of each data point. If the line color is changed, it applies only to that
individual display of the wave; further displays will revert to the default color.
Changing any of the visual effects in this tab affects all of the selected waveforms.
Select Automatic to preserve the settings for each individual waveform. Only the
modified properties will apply to all selected waveforms.
Hide Waveform
This option temporarily hides the display of the waveform data (the waveform name is
not hidden).
Digital Waveforms Tab
Figure 11-56. Digital Waveform Properties Tab
Visual Effects
This section controls the color of the waveform. If the line color is changed, it applies
only to that individual display of the wave; further displays will revert to the default
color.
Changing any of the visual effects in this tab affects all of the selected waveforms.
Select Automatic to preserve the settings for each individual waveform. Only the
modified properties will apply to all selected waveforms.
Note
Since color is used to differentiate some states having the same shape, this can result in
the loss of visual information in the waveform. This can be addressed by using a cursor to
access the value or by reverting to the automatic color setting.
EZwave Users and Reference Manual, 2009.2 394
Dialog Boxes
Window Background (Fonts and Colors)
Hide Waveform
This option temporarily hides the display of the waveform data (the waveform name is
not hidden).
Radix Waveforms Tab
Figure 11-57. Radix Waveform Properties
Digital Radix
This section controls the radix used for displaying waveform state values. It is only
available for digital buses or analog waveforms containing integer data.
Hide Waveform
This option temporarily hides the display of the waveform data (the waveform name is
not hidden).
Window Background (Fonts and Colors)
You can modify the background color of EZwave graph windows. Use the Edit > Options
menu item to open the EZwave Display Preferences dialog box. Expand the Fonts and Colors
folder in the list on the left side of the dialog box to display a list of the elements that you can
customize. Click on Window Background in the list to display the grid options.
This dialog box controls the background color of EZwave windows. Click on the colored box
next to Color and use the Color Selection dialog box to choose a color. The default background
color is black.
Dialog Boxes
Windowing Transform Dialog Box
EZwave Users and Reference Manual, 2009.2 395
See Also
Windowing Transform Dialog Box
The Windowing Input dialog box specifies the parameters for the windowing function used in
the Waveform Calculator. This functionality can be found in the Signal Processing tab of the
Waveform Calculator.
In order to estimate the power density spectrum of a random signal, only a finite part of the
signal is used in practice even if the signal is of infinite duration. In order to reduce the
undesirable effects of truncating the data records (leakage), it is convenient to apply different
types of windows that gradually taper the data near the ends of the record, thereby avoiding the
abrupt truncation of a rectangular window.
11 different types of windowing transforms are available:
Rectangular
Bartlett
Blackman
Blackman-Harris
Dolph-Chebyshev
Hamming
Hanning
Kaiser
Klein
Parzen
Welch
Axis Title (Fonts and Colors) Histogram (Fonts and Colors)
Axis Values (Fonts and Colors) Measurement Annotation (Fonts and Colors)
Axis Values (Smith Chart - Fonts and Colors) Text Annotation (Fonts and Colors)
Calculator Entry (Fonts and Colors) Waveform Colors (Fonts and Colors)
Cursor/Marker (Fonts and Colors) Waveform Name (Fonts and Colors)
Grid (Fonts and Colors) Window Background (Fonts and Colors)
Header Text (Fonts and Colors) Zero-Level Line (Fonts and Colors)
EZwave Users and Reference Manual, 2009.2 396
Dialog Boxes
Windowing Transform Dialog Box
For a record consisting of N points indexed from 0 to N - 1, EZwave works with the following
equations:
Bartlett Window
Blackman Window
Blackman-Harris Window
Dolph-Chebyshev Window
where:
Hamming Window
Dialog Boxes
Windowing Transform Dialog Box
EZwave Users and Reference Manual, 2009.2 397
Hanning Window
Kaiser Window
Where:
I0(x)=Modified zero-order Bessel function.
=Constant which specifies a frequency trade-off between the peak height of the side lobe
ripples and the width of energy in the main lobe.
Klein Window
When:
and
Note
w(i) has a minimum amplitude moment and minimizes the truncation error in high
resolution computations.
Parzen Window
EZwave Users and Reference Manual, 2009.2 398
Dialog Boxes
Windowing Transform Dialog Box
Rectangular Window
Welch Window
Figure 11-58. Windowing Transform Dialog Box
The following describes the fields of the Windowing dialog box:
Dialog Boxes
Workspace (EZwave Display Preferences Dialog Box)
EZwave Users and Reference Manual, 2009.2 399
Source Waveform: Specifies the source waveform. Use the Add Selected Waveform
button to add the currently selected waveform. Use the Clear Waveform List
button to remove all waveforms in the list.
Output Waveform: Specifies the name of the output waveform.
Parameter Setup
o Start time: Indicates the time for the first point of the record.
o Stop time: Indicates the time for the last point of the record.
o Sampling frequency: Indicates the rate at which points are to be sampled between
the start and stop times.
o Number of points: Indicates the number of points to be sampled.
Window Parameters
o Windowing: Indicates the type of windowing transform to be performed.
o Alpha/Beta: Supplies additional parameters for certain transform types.
o Use Data Points should be selected as default if the input data has equidistant Time
Steps; otherwise, Uniform Sampling should be selected.
o Pad with Zeros: Select this option to allow padding with zeros.
Workspace (EZwave Display Preferences Dialog
Box)
In your workspace, right-click your mouse to display the Workspace popup menu. The Options
menu item available on this menu opens the Workspace options of the EZwave Display
Preferences dialog box. (You may also use Edit > Options to display the EZwave Display
Preferences Dialog Box.)
Workspace Options
The following Workspace options are available:
Allow Multiple Workspaces
This option controls whether multiple workspaces can be created. When turned off, the
workspace popup menu will not show the New menu item needed for creating additional
workspaces. In addition, the workspace tab area is also removed.
EZwave Users and Reference Manual, 2009.2 400
Dialog Boxes
X / Y Axis - Min/Max Settings
Hide Icons for Minimized Windows
This option controls whether minimized graph windows appear as icons on the workspace.
When turned off, minimized windows don't appear anywhere on the workspace. In this case you
will not be able to double-click the icon to restore the window. Click the window name in the
Window menu or the Graph Window button on the workspace taskbar in order to restore it.
Hide Taskbars
This option controls whether the workspace taskbar is visible. If the taskbar is not visible, you
can activate windows either by clicking directly on them, or by clicking the windowname in the
Window menu.
Location for Tabs
This option controls the location of the workspace selection tabs. Select the desired location
from the dropdown list.
Workspace Background
This option controls the visual appearance of the workspace area. Click the appropriate button
to choose between using a solid color or a tiled image. Clicking the Select button will open a
secondary window with the available colors or images.
X / Y Axis - Min/Max Settings
Right-click the X or Y axis to display the Axis popup menu. From this menu the Zoom Range
X/Y item opens the X/Y Axis Min/Max settings box.
Min and Max text boxes
Enter the values for the minimum and maximum settings for the axis in the text boxes on the
settings box. To reset the values, use the Reset Value to Min/Max Values from Axis button.
See Also
Edit Options Dialog Box - Data Format
X/Y Axis Min/Max Settings
Axis Properties
Dialog Boxes
Zero-Level Line (Fonts and Colors)
EZwave Users and Reference Manual, 2009.2 401
Zero-Level Line (Fonts and Colors)
You can modify the color of zero-level lines in EZwave graph windows. Use the
Edit > Options menu item to open the EZwave Display Preferences dialog box. Expand the
Fonts and Colors folder in the list on the left side of the dialog box to display a list of the
elements that you can customize. Click on Zero-Level Lines in the list to display the zero-level
options.
The Zero-Level Line dialog box controls how the color of the zero-level line is determined. If
Color selected according to the Y Axis is selected, the zero-level line color will match the
colors of the appropriate Y axis. If Use Color is selected, the color in the colored box is used.
To choose a color, click on the colored box to open the Color Selection dialog box. The default
setting is to use the colors of the Y axes.
See Also
Axis Title (Fonts and Colors) Histogram (Fonts and Colors)
Axis Values (Fonts and Colors) Measurement Annotation (Fonts and Colors)
Axis Values (Smith Chart - Fonts and Colors) Text Annotation (Fonts and Colors)
Calculator Entry (Fonts and Colors) Waveform Colors (Fonts and Colors)
Cursor/Marker (Fonts and Colors) Waveform Name (Fonts and Colors)
Grid (Fonts and Colors) Window Background (Fonts and Colors)
Header Text (Fonts and Colors) Zero-Level Line (Fonts and Colors)
EZwave Users and Reference Manual, 2009.2 402
Dialog Boxes
Zero-Level Line (Fonts and Colors)
EZwave Users and Reference Manual, 2009.2 403
Chapter 12
Tutorials
About the Tutorials
This Help system contains three tutorials. All of the tutorials allow you to save your work for
later use. You can save databases during the tutorials. Create a directory called TUTORIAL on
your computer in an area you can easily browse to while working with the material.
In these tutorials you will perform the following actions:
In Tutorial 1 - you will practice the following:
o plotting a waveform using the double-click method
o closing the Waveform List panel
o zooming over-axis and area
o placing cursors
o using the Snap method to snap the cursors into place
o moving cursor data values
o locking cursors together before dragging
o saving an active Graph window
In Tutorial 2 - you will use many of the procedures used in Tutorial 1 to measure pulse
width. After measuring the pulse width of the v_middle waveform, you will use the File
> Open command to create a second window with the v_load waveformand the cursors
from your first tutorial. You will then compare your new results with the results from
tutorial 1 by using the Graph window taskbar buttons.
In Tutorial 3 - you will practice working with complex waveforms and applying
transformations.
In Tutorial 4 - you will practice working with the product to post-process output from
the Waveform Calculator.
Go to Tutorial 1 - Working with Cursors
EZwave Users and Reference Manual, 2009.2 404
Tutorials
Tutorial 1 - Working with Cursors
Tutorial 1 - Working with Cursors
Part 1 - Setting Up and Plotting a Waveform Using the
Double-click Method
This tutorial explains how to use cursor placement to obtain measurements and deltas between
cursors. You will have the opportunity to save your work as a Saved Window (.swd) file.
1. On your computer, create a directory named TUTORIAL at a location you can easily
find.
You will use this directory to save your tutorial work and databases that you want to
reference.
2. Within the application, make sure your Waveform List panel shows No Databases
Open. If you have open databases, close them and any graph windows that contain
plotted data.
3. Choose the Help > Tutorial > Tutorial Data menu item to load the tutorial database.
Two folders appear: Frequency Results and Time-Domain Results.
4. In the Structure List, expand the tutorial folder and click on the Time-Domain Results
folder. The waveforms contained in the folder are displayed in the Waveform List panel
below.
Tutorials
Tutorial 1 - Working with Cursors
EZwave Users and Reference Manual, 2009.2 405
5. Double-click the v_load waveformwith your mouse to plot it on the graph window. If a
graph window is not displayed, a new graph window is automatically created.
6. The waveform appears in the graph window. Close the Waveform List panel so you
have more viewing space by clicking the Close button in the upper right hand corner of
the Waveform List panel.
EZwave Users and Reference Manual, 2009.2 406
Tutorials
Tutorial 1 - Working with Cursors
With your waveform plotted, you are ready to place your first cursor.
Go to Part 2: Zooming Actions and Placing the First Cursor
Part 2 - Zooming Actions and Placing the First Cursor
1. Zoom in on the area of the first pulse by holding down the left mouse button and
dragging your mouse pointer on the Time axis.
Tutorials
Tutorial 1 - Working with Cursors
EZwave Users and Reference Manual, 2009.2 407
You can also do an area zoom if you want.
Note
If you want to reverse your zoom action, use the Undo Zoom button on the toolbar.
EZwave Users and Reference Manual, 2009.2 408
Tutorials
Tutorial 1 - Working with Cursors
2. Place your mouse pointer near the highest point of this pulse and right-click to display
the popup menu. Select Add Cursor.
3. Now use the Snap feature to move the cursor into position. Right-click the cursor to
display the popup menu and select Snap to Data Points.
Tutorials
Tutorial 1 - Working with Cursors
EZwave Users and Reference Manual, 2009.2 409
You may need to zoomin tightly to see the cursor snap to the closest point. You can also
use the Move cursor buttons on the toolbar to move the cursor to the highest
point.
4. Use the View All button on the toolbar to see the entire waveform.
With your first cursor in place, you are ready to place the second cursor.
Go to Part 3: Placing the Second Cursor and Locking Cursors Before Dragging
Part 3 - Placing the Second Cursor and Locking Cursors
Before Dragging
1. Zoom in on the area of the second pulse on the time axis.
EZwave Users and Reference Manual, 2009.2 410
Tutorials
Tutorial 1 - Working with Cursors
2. Place a new cursor on the lowest point of the waveform in this area by placing your
mouse pointer near the lowest point of this pulse and right-click to display the popup
menu. Select Add Cursor. If needed, use the Snap feature to move the cursor into
position.
3. With the new cursor in position, use the View All button on the toolbar to see the
entire waveform with your two cursors. Looking at the two cursors, note the distance
between the two cursors.
Now, you will move these two cursors to a new position, while keeping this distance
locked in place.
4. Select Cursor > Lock Together When Dragging from the menu bar.
Tutorials
Tutorial 1 - Working with Cursors
EZwave Users and Reference Manual, 2009.2 411
Once the cursors are locked for dragging, move the first cursor fromthe first pulse to the
lowest point on the second pulse (the location of the second cursor, C2). Move the
cursor by right-clicking the first cursor and selecting the Move To menu item. In the
Move Cursor dialog box, enter the value of C2.
The first cursor moves to the new location. Note how the second cursor moves as well.
With the two cursors in place, go to the next section to evaluate the display and drop the third
cursor.
Go to Part 4: Dropping the Third Cursor
EZwave Users and Reference Manual, 2009.2 412
Tutorials
Tutorial 1 - Working with Cursors
Part 4 - Dropping the Third Cursor
The second cursor does not land on the highest point of the next pulse. You need to drop another
cursor to see this difference in X.
1. Remove the dragging lock by selecting the Cursor menu and clearing the checkmark on
the Lock Together When Dragging menu item.
2. On the C2 cursor, right-click the cursor to display the popup menu and select Data
Values > Show on Left. This will flip the values to the other side, so you can see the
axis area clearly in the next zoom function.
3. Perform an area zoom around the third pulse.
Tutorials
Tutorial 1 - Working with Cursors
EZwave Users and Reference Manual, 2009.2 413
4. Once this area displays, drop another cursor near the highest point of this pulse and use
Snap to move this cursor into position. Zoom in if needed.
5. Use the View All button on the toolbar to view the entire display.
6. Select Cursor > Lock Together When Dragging from the menu bar to put the lock
back on.
7. Move the first cursor back to the highest point on the first pulse to observe the pulse
width and then over to the lowest point on the second pulse.
EZwave Users and Reference Manual, 2009.2 414
Tutorials
Tutorial 2 - Measuring Pulse Width
With your cursors in place, move to the next section to save your display.
Go to Part 5: Saving Your Work
Part 5 - Saving Your Work
1. Save the window using the File > Save command. Browse to your TUTORIAL
directory and save the file as tutorial1. The system will save the file as a Save Window
Databases (.swd) file and also write a newMentor Graphics database (.wdb) file with the
same name.
2. Close the graph window.
3. Use File > Open to open the tutorial1.swd file you just saved. A new window appears
with your waveform and cursors. Observe how the waveforms and cursor settings are
immediately established for use.
4. Open the Waveform List panel by clicking the Waveform List panel button on the
toolbar. You will see in the WaveformList panel the new database loaded in the viewer.
Notice the differences between the tutorial database and your new tutorial1 database.
Your new database contains just the plotted (v_load) waveform.
With your measurements and cursors completed and saved, you have finished this tutorial. You
can exit the viewer or move on to Tutorial 2.
Tutorial 2 - Measuring Pulse Width
In this tutorial, you will work with the Saved Window (.swd) file you created in Tutorial 1. You
will also have the opportunity to save your work as a new Saved Window file.
Part 1 - Setting up and Plotting the v_middle Waveform
1. Within the application, make sure that your Waveform List panel shows No Databases
Open. If you have open databases, close them as well as any Graph windows that
contain plotted data.
2. From the menu bar, choose Help > Tutorial > Tutorial Data to load the tutorial
database into the application.
Tutorials
Tutorial 2 - Measuring Pulse Width
EZwave Users and Reference Manual, 2009.2 415
Two folders appear: Frequency Results and Time-Domain Results.
3. In the Structure List, expand the tutorial folder and click on the Time-Domain Results
folder. The waveforms contained in the folder are displayed in the Waveform List panel
below.
4. Double-click the v_middle waveform with your mouse to plot it on the graph window.
If a graph window is not displayed, a new graph window is automatically created.
EZwave Users and Reference Manual, 2009.2 416
Tutorials
Tutorial 2 - Measuring Pulse Width
5. The waveform appears in the graph window. You can close the Waveform List panel so
you have more viewing space.
With your waveform plotted, you are now ready to investigate the first (high) pulse.
Go to Part 2: Placing a Cursor at the Start and Finish of the First (High) Pulse
Part 2 - Placing a Cursor at the Start and Finish of the
First (High) Pulse
1. Perform an area zoom around the 0.0 volts area of the first pulse on the v_middle
waveform.
2. This time, place the C1 cursor on the first point where the waveform leaves 0.0 volts.
Use Snap to place move the cursor in place.
Tutorials
Tutorial 2 - Measuring Pulse Width
EZwave Users and Reference Manual, 2009.2 417
3. Use the View All button on the toolbar to view the entire display.
4. Zoom in the next area where the waveform again crosses 0.0 volts.
5. Select the View > Grid Lines menu item to view the grid lines. Drop the next cursor
near 0.0 volts. Move the cursor into position using the Move Cursor buttons
on the toolbar.
EZwave Users and Reference Manual, 2009.2 418
Tutorials
Tutorial 2 - Measuring Pulse Width
6. Turn off the grid lines by clicking on the grid lines button on the toolbar.
You have finished investigating the pulse width for the high pulse. The following section
explains measuring the low pulse width.
Go to Part 3: Measure the Low Pulse Width
Part 3 - Measure the Low Pulse Width
1. Choose the Cursor > Lock Together When Dragging menu item to lock the cursors
before moving them.
2. Click the View All button on the toolbar to see the entire display.
3. Right-click the C1 cursor and select the Move To... menu item. In the Move Cursor
dialog box, enter the value of cursor 2 (C2) for the new X location.
The cursor moves to the new position with the second cursor moving an equal distance.
Tutorials
Tutorial 2 - Measuring Pulse Width
EZwave Users and Reference Manual, 2009.2 419
4. Remove the dragging lock by selecting the Cursor menu and clearing the checkmark on
the Lock Together When Dragging menu item.
5. Drop another cursor to the right of the C2 cursor and use the Move Cursor toolbar
buttons to advance the cursor until it reaches 0.0.
6. Once all the cursors are place, use the Lock Together When Dragging menu item and
move the C1 cursor back and forth to observe the difference.
Now you can compare this data to the measurements you took previously with the v_load
waveform. Go to Part 4: Comparing Pulse Widths of Two Waveforms and Saving Your Work.
Part 4 - Comparing Pulse Widths of Two Waveforms and
Saving Your Work
1. From the menu bar, choose File > Open to display the Open dialog box.
EZwave Users and Reference Manual, 2009.2 420
Tutorials
Tutorial 2 - Measuring Pulse Width
In the dialog box, browse to your Tutorial directory and select the Tutorial1.swd file. A
new graph window will open with your waveform and cursors displayed.
The taskbar now shows buttons for each of the Graph Windows.
2. Select each button to make that window the active window for display.
Compare the Delta X values of the C3 cursor for each window.
3. Save the window containing the v_middle waveform using the File > Save command.
Browse to your TUTORIAL directory and save the file as tutorial2.
4. Close all graph windows. Open the WaveformList panel by clicking the WaveformList
button on the toolbar. Right-click in the Waveform List panel to access the
Waveform List popup menu, and select Close All Databases. Confirm the closure by
clicking Yes.
5. Choose File > Open to open the tutorial2.swd file you just saved. Also open the
tutorial1.swd. Two new windows appear with the waveforms and cursors. The
Waveform List panel displays the new databases in the viewer.
6. Observe how the waveforms and cursor settings are immediately established for use.
With your measurements and cursors completed and saved, you have finished this tutorial. You
can exit the viewer or move on to Tutorial 3 on complex waveforms.
Tutorials
Tutorial 3 - Complex Waveforms
EZwave Users and Reference Manual, 2009.2 421
Tutorial 3 - Complex Waveforms
Part 1 - Setting Up and Plotting the v_load Waveform
This tutorial uses complex waveforms to establish different transformation effects and axis
settings. You will have the opportunity to save your work as a Saved Window (.swd) file.
1. On your computer, create a directory named TUTORIAL at a location you can easily
find. Use this directory to save your tutorial work and databases that you want to
reference.
2. Within EZwave, make sure that your Waveform List panel shows No Databases Open.
If you have open databases, close them as well as any graph windows that contain
plotted data.
3. From the menu bar, choose Help > Tutorial > Tutorial Data to load the tutorial
database into EZwave.
Two folders appear: Frequency Results and Time-Domain Results.
4. In the Structure List, expand the tutorial folder and click on the Frequency Results
folder. The waveforms contained in the folder are displayed in the Waveform List panel
below.
5. Double-click the v_load waveform to plot the waveform in two separate rows.
Next, you will add a new waveform into the existing row.
EZwave Users and Reference Manual, 2009.2 422
Tutorials
Tutorial 3 - Complex Waveforms
Go to Part 2: Adding a New Waveform and Moving Waveforms
Part 2 - Adding a New Waveform and Moving Waveforms
v_load is a complex waveform that appears with transformations of dB and Continuous Phase
values applied. Each transformation is plotted in its own row for analysis of gain. You will now
see how additional waveforms would appear plotted overlaid with the transformation
immediately applied.
1. Place your mouse pointer on the v_middle waveformfromthe WaveformList panel and
drag it into the Continuous Phase plot.
Observe the ghost image of the waveform attached to your pointer. As you release the
mouse, the v_middle waveformplots overlaid with the Continuous Phase transformation
immediately applied.
2. Separate the two overlaid waveforms by placing the mouse pointer on the v_middle
waveform label (appears to the right of the waveform) and drag it below the Frequency
axis area to establish the waveform in its own row.
Tutorials
Tutorial 3 - Complex Waveforms
EZwave Users and Reference Manual, 2009.2 423
Observe the ghost image of the v_middle waveform attached to your pointer. Also note
this time you do not see the plus sign box in the image. This indicates you are moving
the waveform. If you want to make a copy of the waveform, select the waveform label,
and then press the CTRL key on your keyboard while dragging the waveform.
Next, you will change the transformations applied for affect on the waveform.
Go to Part 3: Changing Transformations with the Popup Menu
Part 3 - Changing Transformations with the Popup Menu
We will now change the transformation of the v_load Continuous Phase plot to Imaginary.
1. Right-click anywhere on Cphase v_load waveform itself to display the popup menu.
EZwave Users and Reference Manual, 2009.2 424
Tutorials
Tutorial 3 - Complex Waveforms
2. The Transformations menu item expands to show the other selections available. Place
your mouse pointer over Imaginary to change the transformation using this method.
3. On the dB transformation of the v_load waveform, use the popup menu (right-click the
waveform), and select the Properties menu item to see the settings for this waveform.
Tutorials
Tutorial 3 - Complex Waveforms
EZwave Users and Reference Manual, 2009.2 425
4. When the Properties settings box appears, select the Transformations tab and then
change the checkbox to the Real setting.
5. Click OK to apply the settings and close the Properties box.
Go to the next section to see how to make a default transformation setting.
Go to Part 4: Setting Default Transformations Using the Options Dialog Box
Part 4 - Setting Default Transformations Using the
Options Dialog Box
Make the four transformations (dB, Continuous Phase, Real and Imaginary) the preferred way
to see all complex waveforms. This part of the tutorial makes these transformations a default
setting for the viewer.
1. Choose Edit > Options to open the EZwave Display Preferences dialog box. Then
select Transformations from the list to see the current default settings.
2. Select Real and Imaginary to add to the current default settings of dB and Continuous
Phase. Leave the checkbox clear next to Selected Transformations Should Be Plotted
Overlaid to avoid these four transformations being overlaid as a default plotting
condition.
3. Click OK to apply the new settings and close the dialog box.
4. Plot the second waveform now. From the Frequency Results folder, right-click the
v_middle waveform and select Plot from the popup menu.
EZwave Users and Reference Manual, 2009.2 426
Tutorials
Tutorial 3 - Complex Waveforms
5. The v_middle waveform appears in four rows, one for each of the default
transformations. These four rows are at the bottom of your active graph window. A
scroll bar may appear to the right so you can scroll up to see the first three rows that
contain the Real (v_load), Imag (v_load), and first Cphase (v_middle) waveforms.
Scroll your graph window to see your seven rows of waveforms. Return to the bottomof
the active graph window for the next step.
Tutorials
Tutorial 3 - Complex Waveforms
EZwave Users and Reference Manual, 2009.2 427
6. Place your mouse pointer on the label for the Imag (v_middle) waveform and drag it
over the Real (v_middle) waveform to create an overlaid plot of two different
transformations. Then move the dB (v_middle) waveformbelow the Cphase (v_middle)
waveform to create this new comparison view.
With this new default display this way, the next part of the tutorial describes how to change axis
settings.
Go to Part 5: Changing Axis Settings from Radians to Degrees
Part 5 - Changing Axis Settings from Degrees to Radians
1. The Y axis for Phase transformations is set for degrees, but you will change this axis to
display in radians. Right-click the Y axis to display the popup menu and select the
Properties menu item.
2. From the Y1 Axis Properties dialog box, change the setting from degrees to radians
using the drop-down list in the Axis Units area. Check the checkbox next to Apply to
EZwave Users and Reference Manual, 2009.2 428
Tutorials
Tutorial 3 - Complex Waveforms
All "Phase" Axes in the Current Window. Click OKto apply the setting and close the
dialog box.
With the axis set to radians, go to the next section to create a new window for Phase Analysis.
Go to Part 6: Create the Phase Analysis Window and Save Your Work
Part 6 - Create the Phase Analysis Window and Save Your
Work
1. Use your mouse pointer to select the Imag (v_middle) and Real (v_middle) waveforms
by using an area select.
Once you have selected these waveforms, drag them below the dB (v_middle)
waveform to move them to a new location.
Compare both the v_load and v_middle waveforms from a Phase aspect.
2. First, select the v_load waveform from the Waveform List panel and drag it into the
third row in your graph window. Note: This row should contain the v_middle waveform
with Continuous Phase transformation.
You should now see the third row with the v_load and v_middle waveforms overlaid
with Continuous Phase transformation. The fourth row should contain only the
v_middle waveform with Continuous Phase transformation applied.
3. Select the waveforms from each of these rows using area select. Now press the CTRL
key on your keyboard to drag these waveforms to the workspace. You will see the small
plus sign appear indicating you are dragging a copy.
Tutorials
Tutorial 3 - Complex Waveforms
EZwave Users and Reference Manual, 2009.2 429
Drag the copy of the waveforms to the workspace and release the mouse pointer. This
creates a new window with the waveforms plotted.
4. Now that this window is the active window, choose the Window > Title menu item
from the menu bar to rename this window to Phase Analysis.
5. Your workspace will now show two Graph Window buttons on the taskbar (at the
bottom of the application window) for your original window and your new Phase
Analysis window.
Use your new Phase Analysis window to compare the two transformations. While this
window is helpful for independent analysis, you do not need to save it for future use.
EZwave Users and Reference Manual, 2009.2 430
Tutorials
Tutorial 4 - Post Processing Data - Analyzing Timing Margins
You do want to save the first window however, as it has all the transformations and
waveform layouts.
6. Click the toolbar button for your first window to make that window active.
7. Save the window using the File > Save command. Browse to your TUTORIAL
directory and save the file as tutorial3.
8. Close all windows and databases.
9. Use File > Open to open the tutorial3.swd file you just saved.
10. Observe how the waveforms and window settings are immediately established for use.
With your complex waveforms completed and saved, you have finished this tutorial.
Tutorial 4 - Post Processing Data - Analyzing
Timing Margins
Part 1 - Setting Up and Plotting a Waveform Using the
Double-click Method
This tutorial explains how to use EZwave to post-process information. In this tutorial we will
analyze timing margins, in this case creating a Jitter Analysis to obtain measurements and deltas
of the deviation a signal transition from the ideal time.
1. Within EZwave, make sure your Waveform List panel shows No Databases Open. If
you have open databases, close them and any graph windows that contain plotted data.
Tutorials
Tutorial 4 - Post Processing Data - Analyzing Timing Margins
EZwave Users and Reference Manual, 2009.2 431
2. Choose the Help > Tutorial > Tutorial Data menu item to load your database into
EZwave.
3. Expand the database folder to display the waveforms contained in the folder.
4. Double-click a waveform with your mouse to plot it on the graph window. If a graph
window is not displayed, a new graph window is automatically created.
EZwave Users and Reference Manual, 2009.2 432
Tutorials
Tutorial 4 - Post Processing Data - Analyzing Timing Margins
5. The waveform appears in the graph window. Close the Waveform List panel so you
have more viewing space by clicking the Close button in the upper right hand corner of
the Waveform List panel.
Tutorials
Tutorial 4 - Post Processing Data - Analyzing Timing Margins
EZwave Users and Reference Manual, 2009.2 433
With your waveform plotted, you are ready to analyze your data using the Measurement Tool.
Go to Part 2: Using the Measurement Tool
Part 2 - Measuring Waveform Values Using the
Measurement Tool
This tutorial explains how to post-process data to obtain timing measurements and deltas of a
waveform. With your waveform plotted, you are ready to analyze your data using the
Measurement Tool.
1. Select Tools > Measurement Tool menu item to open the Measurement Tool window,
or in the active graph window, right-click on the waveform name. Select Measurement
Tool from the popup window.
2. Select the measurement type Time Domain and the category Frequency using the
dropdown lists.
3. Click on the select button to add your waveform to the Source Waveform field.
EZwave Users and Reference Manual, 2009.2 434
Tutorials
Tutorial 4 - Post Processing Data - Analyzing Timing Margins
4. Specify an appropriate Threshold Topline value. Click on the previewbutton to display
the Topline level on the specified waveform.
5. Specify an appropriate Threshold Baseline value. Click on the preview button to
display the Baseline level on the specified waveform.
6. Click on the preview buttons to show the Topline and Baseline.
7. Use the default Rising or Falling Edge trigger button.
8. To present the measurement results, check Plot New Waveform of Frequency vs
Time.
9. Select Entire Waveform in the Apply Measurement to field.
10. Check Remove all previous "Frequency" results.
11. Click Apply.
This will create a new waveform. In the next section we will use the waveform calculator to
calculate and plot the Jitter Analysis results.
Go to Part 3: Calculating and Plotting Analysis Results
Part 3 - Calculating and Plotting the Jitter Analysis Using
the Waveform Calculator
This tutorial explains how to use post processing to obtain measurements and deltas between
elements of a waveform. With your data analyzed, you are ready to calculate the Jitter Analysis
using the Waveform Calculator.
1. Select Tools > Waveform Calculator menu item to open the Waveform Calculator
window.
2. Select the calculation type statistical using the dropdown list.
Tutorials
Tutorial 4 - Post Processing Data - Analyzing Timing Margins
EZwave Users and Reference Manual, 2009.2 435
3. Click the histogram button on the calculator keypad.
4. Enter the following parameters (these values will vary depending on your particular
waveform):
a. Enter the waveform name from the active window.
b. Enter the number of bars to chart.
c. Enter the begin time.
d. Enter the end time.
e. Enter 0 for no sampling.
5. Click the Eval button to calculate the values for the histogram.
6. Click the Plot icon on the calculator toolbar to plot the results of the calculation.
EZwave Users and Reference Manual, 2009.2 436
Tutorials
Tutorial 4 - Post Processing Data - Analyzing Timing Margins
The resulting histogram shows the results of your Jitter Analysis of a waveform.
In this tutorial you have calculated and displayed the results of a Jitter Analysis using EZwave's
Waveform Calculator. You can print or save the results of these calculations by using the Print
or Export commands from the File dropdown list.
EZwave Users and Reference Manual, 2009.2 437
Appendix A
Eldo Simulation
Collecting Eldo Simulation Data
The Eldo simulator outputs waveform data that can be displayed by EZwave. EZwave works
with the Eldo Simulator in the following scenarios:
Scenario 1: Run Eldo With EZwave
Scenario 2: Complete Eldo Simulation
Scenario 3: Manual Status Update
Scenario 4: Marching Update
Scenario 1: Run Eldo With EZwave
In this scenario, the Eldo simulator runs a complete simulation and outputs the data in JWDB
format to be directly viewed by EZwave. You can use one of the following methods:
Invoke Eldo Simulator from the command line as in the following example:
eldo test.cir -ezwave &
This command invokes the Eldo simulator and directs it to run a complete simulation
and output the data to a file.
The simulator regularly saves incremental data to the disk (by default, each 100Mbs of
data). This allows you to run very large simulations without consuming too much
memory.
Use the -noisaving option to disable incremental saves inside the Eldo simulator.
Use a pre-defined configuration:
eldo test.cir -ezwave -wdb_config config.swd &
The simulator requests that EZwave display waveforms as defined in the config.swd file (this is
an EZwave Save Window file) instead of the .plot statements defined in the netlist test.cir. If
some post-processed waveforms were stored through config.swd, they will be automatically re-
computed with new simulation data.
When the simulation is completed, the simulator exits and EZwave remains until you exit the
program.
EZwave Users and Reference Manual, 2009.2 438
Eldo Simulation
Scenario 2: Complete Eldo Simulation and View Simulation Data Later
Scenario 2: Complete Eldo Simulation and View
Simulation Data Later
In this scenario, the Eldo Simulator runs a complete simulation and outputs the data in JWDB
format to be read by EZwave. In EZwave, the data can be organized and the window contents
can then be saved for later viewing.
1. Invoke Eldo Simulator as in the following example:
eldo test.cir
This command invokes the Eldo simulator and directs it to run a complete simulation
and output the data to a file.
Alternatively, if you want to reuse the JWDB server launched by Eldo for other Eldo
simulations, use the -jwdb_servermode option as in the following example:
eldo test.cir -jwdb_servermode
This setting can also be specified in the eldo.ini file. Refer to the Eldo simulator
documentation for further details.
2. To display simulation results, invoke EZwave.
3. In EZwave, use the File > Open menu to open the JWDB file generated by the
simulator. The waveform data appears.
You can organize data in different graph rows and create some post processing
waveforms. You can save the window contents using the File > Save option and then
reuse the saved window .swd file later on.
Note
For this release, the following limitations apply for this scenario:
The post-processed waveform is not automatically updated during the simulation.
If EZwave still displays data at the end of the simulation, the data is reloaded from
the disk. This can be time-consuming.
Having EZwave display multiple-run simulation results may lead to internal errors.
EZwave Reload Option
The File > Reload option in EZwave is a shortcut to update waveforms data in EZwave with
new simulated data with a single action. It also automatically updates all post-processed
waveform data. To use the reload functionality:
1. Invoke Eldo Simulator as in the following example:
Eldo Simulation
Scenario 3: Manual Status Update
EZwave Users and Reference Manual, 2009.2 439
eldo test.cir
This command invokes the Eldo simulator and directs it to run a complete simulation
and output the data to a file.
2. To display simulation results, invoke EZwave.
3. In EZwave, use the File > Open option to open the JWDB file generated by the
simulator. The waveform data appears.
4. You can organize data in different graph rows and create some post processing
waveforms.
5. Modify simulation parameters in test.cir and run another simulation:
eldo test.cir
6. In EZwave, use the File > Reload option to update waveforms with new simulated data.
Scenario 3: Manual Status Update
Waveform data can be manually collected from a running simulation at an interval of your own
choosing. This enables you to get a status update on a running simulation.
1. Invoke Eldo Simulator using one of the following methods:
Command line invocation: Invoke with EZwave using the following command:
eldo test.cir -ezwave &
Output in JWDB format: Invoke the Eldo Simulator and run a complete simulation as
in the following example:
eldo test.cir &
Then invoke EZwave and use the File > Open option to open the .wdb file generated by
the simulation.
2. To update the data in the EZwave viewer, click the Update WaveformData button in the
EZwave toolbar. This updates displayed waveforms with new simulation data.
Note
For this release, the following limitation applies for this scenario:
If jwdb_servermode is set (from the command line or in the eldo.ini file) when an Eldo
simulation is invoked, the simulation output data cannot be accessed until after the
simulation completes.
EZwave Users and Reference Manual, 2009.2 440
Eldo Simulation
Scenario 4: Marching Update
Scenario 4: Marching Update
Waveform data can be collected from a running simulation at a pre-defined set interval. This
interval is set in EZwave and is run simultaneously with the Eldo Simulator. This automates the
process of updating waveform data viewed in EZwave.
1. Invoke Eldo Simulator using one of the following methods:
Command line invocation: Invoke with EZwave with the following command:
eldo test.cir -ezwave &
Output in JWDB format: Invoke the Eldo Simulator and run a complete simulation as
in the following example:
eldo test.cir &
Then invoke EZwave and use the File > Open option to open the .wdb file generated by
the simulation.
2. In EZwave, select Edit > Options to invoke the EZwave Display Preferences dialog
box. Select General fromthe list in the dialog box. This takes you to the General options
page.
3. In the General options page, go to the Marching Waveforms area and set the update
interval by either of the following options:
Automatically Update Displayed Waveforms Every X time interval: The time
interval can be by second, minute, or hour.
Automatically Update Displayed Waveforms Every X%of Simulation: This updates
based on the percentage completion of the simulation.
Be careful not to set too small of an interval. Setting a short interval increase the number
of updates and then the amount of resources globally used to update the waveform data
viewed in EZwave.
Note
For this release, the following limitation applies for this scenario:
If jwdb_servermode is set (from command line or in the eldo.ini file) when an Eldo
simulation is invoked, the simulation output data cannot be accessed until after the
simulation completes.
EZwave Users and Reference Manual, 2009.2 441
Appendix B
Tcl Scripting Support
EZwave supports Tcl scripting, enabling you to create batch files to execute Tcl commands
from within the EZwave application.
The following is a short example of how to use Tcl commands with EZwave.
1. Create a text file test.tcl and copy in the following lines:
## open the tutorial
dataset open $env(MGC_AMS_HOME)/examples/ezwave/tutorial.wdb
## perform a calculation
set wf [wfc {wf("<tutorial/Time-Domain_Results>v_load")-
wf("<tutorial/Time-Domain_Results>v_middle")}]
## plot the result add wave
add wave $wf
## print window contents to a PostScript file
write wave -file $env(HOME)/test.ps
## then exit
exit
2. Run the following command in your terminal:
ezwave -do test.tcl
This command invokes EZwave and loads the Tcl commands contained in the test.tcl file. For
more examples, see Tcl Scripting Examples.
This appendix contains the following information related to Tcl scripting with the EZwave
waveform viewer:
Tcl Syntax
Tcl List Processing
Supported Tcl Commands
External Tcl Command Support
Tcl Scripting Examples
Tcl Syntax
This section contains the following information:
Tcl Command Syntax Rules Lists eleven rules that define the syntax and semantics
of the Tcl language.
EZwave Users and Reference Manual, 2009.2 442
Tcl Scripting Support
Tcl Syntax
If Command Syntax Describes in detail the syntax for the Tcl command, if.
Set Command Syntax Describes in detail the syntax for the Tcl command, set.
Command Substitution Describes command substitution in Tcl.
Variable Substitution Describes variable substitution in Tcl.
Tcl Command Syntax Rules
The following eleven rules define the syntax and semantics of the Tcl language.
1. ATcl script is a string containing one or more commands. Semi-colons and newlines are
command separators unless quoted as described below. Close brackets ( ] ) are
command terminators during command substitution (see below) unless quoted.
2. A command is evaluated in two steps. First, the Tcl interpreter breaks the command into
words and performs substitutions as described below. These substitutions are performed
in the same way for all commands. The first word is used to locate a command
procedure to carry out the command, then all of the words of the command are passed to
the command procedure. The command procedure is free to interpret each of its words
in any way it likes, such as an integer, variable name, list, or Tcl script. Different
commands interpret their words differently.
3. Words of a command are separated by white space (except for newlines, which are
command separators).
4. If the first character of a word is a double-quote ( " ) then the word is terminated by the
next double-quote character. If semi-colons ( ; ), close brackets ( ] ), or white space
characters (including newlines) appear between the quotes then they are treated as
ordinary characters and included in the word. Command substitution, variable
substitution, and backslash substitution are performed on the characters between the
quotes as described below. The double-quotes are not retained as part of the word.
5. If the first character of a word is an open brace ( { ) then the word is terminated by the
matching close brace ( } ). Braces nest within the word: for each additional open brace
there must be an additional close brace (however, if an open brace or close brace within
the word is quoted with a backslash then it is not counted in locating the matching close
brace). No substitutions are performed on the characters between the braces except for
backslash-newline substitutions described below, nor do semi-colons, newlines, close
brackets, or white space receive any special interpretation. The word will consist of
exactly the characters between the outer braces, not including the braces themselves.
6. If a word contains an open bracket ( [ ) then Tcl performs command substitution. To do
this it invokes the Tcl interpreter recursively to process the characters following the
open bracket as a Tcl script. The script may contain any number of commands and must
be terminated by a close bracket ( ] ). The result of the script (i.e. the result of its last
command) is substituted into the word in place of the brackets and all of the characters
Tcl Scripting Support
Tcl Syntax
EZwave Users and Reference Manual, 2009.2 443
between them. There may be any number of command substitutions in a single word.
Command substitution is not performed on words enclosed in braces.
7. If a word contains a dollar-sign ( $ ) then Tcl performs variable substitution: the dollar-
sign and the following characters are replaced in the word by the value of a variable.
Variable substitution may take any of the following forms:
o $name: Name is the name of a scalar variable; the name is terminated by any
character that isn't a letter, digit, or underscore ( _ ).
o $name(index): Name gives the name of an array variable and index gives the name
of an element within that array. Name must contain only letters, digits, and
underscores. Command substitutions, variable substitutions, and backslash
substitutions are performed on the characters of index.
o ${name}: Name is the name of a scalar variable. It may contain any characters
whatsoever except for close braces. There may be any number of variable
substitutions in a single word. Variable substitution is not performed on words
enclosed in braces.
8. If a backslash ( \ ) appears within a word then backslash substitution occurs. In all cases
but those described below the backslash is dropped and the following character is
treated as an ordinary character and included in the word. This allows characters such as
double quotes, close brackets, and dollar signs to be included in words without
triggering special processing. Table B-1 lists the backslash sequences that are handled
specially, along with the value that replaces each sequence. Backslash substitution is not
performed on words enclosed in braces, except for backslash-newline as described
above.
Table B-1. Tcl Backslash Sequences
Sequence Value
\a Audible alert (bell) (0x7)
\b Backspace (0x8)
\f Form feed (0xc)
\n Newline (0xa)
\r Carriage-return (0xd)
\t Tab (0x9)
\v Vertical tab (0xb)
EZwave Users and Reference Manual, 2009.2 444
Tcl Scripting Support
Tcl Syntax
9. If a pound sign ( # ) appears at a point where Tcl is expecting the first character of the
first word of a command, then the pound sign and the characters that follow it, up
through the next newline, are treated as a comment and ignored. The # character denotes
a comment only when it appears at the beginning of a command.
10. Each character is processed exactly once by the Tcl interpreter as part of creating the
words of a command. For example, if variable substitution occurs then no further
substitutions are performed on the value of the variable; the value is inserted into the
word verbatim. If command substitution occurs then the nested command is processed
entirely by the recursive call to the Tcl interpreter; no substitutions are performed before
making the recursive call and no additional substitutions are performed on the result of
the nested script.
11. Substitutions do not affect the word boundaries of a command. For example, during
variable substitution the entire value of the variable becomes part of a single word, even
if the variable's value contains spaces.
If Command Syntax
The Tcl if command executes scripts conditionally. In the syntax below, the question mark ( ? )
indicates an optional argument.
Syntax
if expr1 ?then? body1 elseif expr2 ?then? body2 elseif ?else? ?bodyN?
Description
The if command evaluates expr1 as an expression. The value of the expression must be a
boolean (a numeric value, where 0 is false and anything else is true, or a string value such as
true or yes for true and false or no for false); if it is true then body1 is executed by passing it to
\<newline>white_space A single space character replaces the backslash, newline,
and all spaces and tabs after the newline. This backslash
sequence is unique in that it is replaced in a separate
prepass before the command is actually parsed. This
means that it will be replaced even when it occurs between
braces, and the resulting space will be treated as a word
separator if it isnt in braces or quotes.
\\ Backslash ( \ )
\ooo The digits ooo (one, two, or three of them) give the octal
value of the character.
\xhh The hexadecimal digits hh give the hexadecimal value of
the character. Any number of digits may be present.
Table B-1. Tcl Backslash Sequences (cont.)
Sequence Value
Tcl Scripting Support
Tcl Syntax
EZwave Users and Reference Manual, 2009.2 445
the Tcl interpreter. Otherwise expr2 is evaluated as an expression and if it is true then body2 is
executed, and so on. If none of the expressions evaluates to true then bodyN is executed. The
then and else arguments are optional "noise words" to make the command easier to read. There
may be any number of elseif clauses, including zero. bodyN may also be omitted as long as else
is omitted too. The return value from the command is the result of the body script that was
executed, or an empty string if none of the expressions was non-zero and there was no bodyN.
Set Command Syntax
The Tcl set command returns or sets the values of variables.
Syntax
set varName [value]
Arguments
varName
(Required) The name of a Tcl variable. If you do not specify a value, this command will
return the value of the varName you specify.
value
(Optional) The value to be assigned to the variable. When you specify value you will change
the current state of the varName you specify.
Description
Returns the value of variable varName. If you specify value, the command sets the value of
varName to value, creating a new variable if one doesn't already exist, and returns its value. If
varName contains an open parenthesis and ends with a close parenthesis, then it refers to an
array element: the characters before the first open parenthesis are the name of the array, and the
characters between the parentheses are the index within the array. Otherwise varName refers to
a scalar variable. Normally, varName is unqualified (does not include the names of any
containing namespaces), and the variable of that name in the current namespace is read or
written. If varName includes namespace qualifiers (in the array name if it refers to an array
element), the variable in the specified namespace is read or written.
If no procedure is active, then varName refers to a namespace variable (global variable if the
current namespace is the global namespace). If a procedure is active, then varName refers to a
parameter or local variable of the procedure unless the global command was invoked to declare
varName to be global, or unless a Tcl variable command was invoked to declare varName to be
a namespace variable.
Command Substitution
Placing a command in square brackets ( [ ] ) will cause that command to be evaluated first and
its results returned in place of the command.
EZwave Users and Reference Manual, 2009.2 446
Tcl Scripting Support
Tcl List Processing
Example
set a 25
set b 11
set c 3
echo "the result is [expr ($a + $b)/$c]"
This code will output the following:
the result is 12
Variable Substitution
When a $var_name is encountered, the Tcl parser will look for variables that have been defined
either by QuestaSim or by you, and substitute the value of the variable.
Note
Tcl is case sensitive for variable names.
To access environment variables, use the following construct:
$env(var_name)
echo My user name is $env(USER)
Environment variables can also be set using the env array:
set env(SHELL) /bin/csh
Tcl List Processing
In Tcl a "list" is a set of strings in curly braces separated by spaces. Several Tcl commands are
available for creating lists, indexing into lists, appending to lists, getting the length of lists and
shifting lists, as shown below:
Table B-2. Tcl List Processing Commands
Command Syntax Description
lappend lappend var_name val1 val2 Appends val1, val2, etc. to the list
var_name
lindex lindex list_name index Returns the index-th element of list_name;
the first element is 0
linsert linsert list_name index val1
val2
Inserts val1, val2, etc., just before the
index-th element of list_name
list list val1, val2 Returns a Tcl list consisting of val1, val2,
etc.
Tcl Scripting Support
Tcl List Processing
EZwave Users and Reference Manual, 2009.2 447
Example 1
This example uses the Tcl while loop to copy a list from variable a to variable b, reversing the
order of the elements along the way:
set b [list]
set i [expr {[llength $a] - 1}]
while {$i >= 0} {
lappend b [lindex $a $i]
incr i -1
}
Example 2
This example uses the Tcl for command to copy a list from variable a to variable b, reversing
the order of the elements along the way:
set b [list]
for {set i [expr {[llength $a] - 1}]} {$i >= 0} {incr i -1} {
lappend b [lindex $a $i]
}
Example 3
This example uses the Tcl foreach command to copy a list from variable a to variable b,
reversing the order of the elements along the way (the foreach command iterates over all of the
elements of a list):
set b [list]
foreach i $a { set b [linsert $b 0 $i] }
Example 4
This example shows a list reversal as above, this time aborting on a particular element using the
Tcl break command:
llength llength list_name Returns the number of elements in
list_name
lrange lrange list_name first last Returns a sublist of list_name, from index
first to index last; first or last may be
end, which refers to the last element in
the list
lreplace lreplace list_name first last
val1, val2,
Replaces elements first through last with
val1, val2, etc.
lsearch lsearch list_name pattern Returns the index of the first element in
list_name that matches pattern
lsort lsort list_name Returns list_name sorted in increasing
ASCII sorting order
Table B-2. Tcl List Processing Commands (cont.)
Command Syntax Description
EZwave Users and Reference Manual, 2009.2 448
Tcl Scripting Support
Supported Tcl Commands
set b [list]
foreach i $a {
if {$i = "ZZZ"} break
set b [linsert $b 0 $i]
}
Example 5
This example is a list reversal that skips a particular element by using the Tcl continue
command:
set b [list]
foreach i $a {
if {$i = "ZZZ"} continue
set b [linsert $b 0 $i]
}
Supported Tcl Commands
EZwave supports the Tcl commands listed in Table B-3.
Note
For commands that require a waveform_name argument, you can use special options to
select the waveform(s). These are indicated in the table by a footnote, found at the end of
the table.
The Tcl commands found in this appendix use the following conventions:
Required
Required command names or arguments are in bold.
Required Replaced
Required argument place holders are in bold italics. You must replace these arguments
with a value or string. For example,
dofile filename
indicates that you must replace the required filename argument with the name of a file.
[ Optional ]
Optional arguments are surrounded by square brackets [ ].
[ Optional Replaced ]
Optional argument place holders are surrounded by square brackets [ ] and in italics.
When using these optional arguments, you must replace it with a value or string. For
example,
compare run [startTime]
Tcl Scripting Support
Supported Tcl Commands
EZwave Users and Reference Manual, 2009.2 449
indicates that startTime is an optional argument, but you must replace it with a start time
value.
{ a | b }
Curly braces { } indicate a choice between the items separated by a pipe |. There can be
more than two choices for a set of curly braces.
Table B-3. Supported Tcl Commands
Command Description
Miscellaneous Commands
add wave (see add wave section for usage) Add a new waveform.
batch_mode Check if the Tcl program is in batch mode.
delete wave [ -window window_name ]
waveform_name
1
Removes a waveform.
dofile file_name Sources the specified Tcl file.
environment [path_name] [ -dataset |
-nodataset]
Displays or changes the current
region/signal environment.
exit [ -force ] [ -discard ]
quit [ -force ] [ -discard ]
Exits the EZwave application.
evalExpression expression
wfc expression
Invoke the EZwave Waveform Calculator
and perform operations. evalExpression
and wfc may return different values,
depending on the type of output. Refer to
the entries on the following pages for more
details.
printenv [variable_name] Displays name and value of environment
variable(s).
radix -radix_type Sets the bus radix to one of the following:
binary
octal
decimal
hexadecimal
unsigned
ascii
setenv variable_name [value] Sets or displays the value of an
environment variable.
unsetenv variable_name Unsets an environment variable.
wave addannotation [ waveform_name
1
] -x
x_value [ -y y_value ] -text text [ -snap ]
Add a text annotation at the specified
location in the active window.
EZwave Users and Reference Manual, 2009.2 450
Tcl Scripting Support
Supported Tcl Commands
wave addline {-x | -y } value
[ waveform_name
1
] [ -text annotation_text ]
[ -drag ] [ -hash { up | down | left | right } ]
Adds a horizontal or vertical line to a row
in the active window.
wave addmarker [ -window window_name ]
[ -name name ] [ -time { time | x_value } ]
Add a vertical marker at the specified
location.
wave addproperty waveform_name
1
-name
property_name -value property_value
Adds a property to a waveform.
wave addwindow [-title title] Create a new window.
wave closewindow [ window_name] | [ -all |
-workspace ]
Closes one or more graph windows.
wave displayed [ -window window_name |
-allwindows | -activewindow] [ -color ]
Displays a Tcl list containing waveform
names and optionally, waveform colors.
wave show
[ |representation2|...|representationN ]
Defines the default net representation that
is used with the add wave command.
wave windowlist Returns a list of open windows.
wave xaxis [ -scale { linear | log2 | log10 } ]
[ -window window_name ]
Sets or displays the current X axis scale.
write jpeg file_name [ -window window_name]
[ -blackbackground | -whitebackground
| -monochrome | -colorasdisplayed ]
[ -visiblewindows ] [ -resolution { screen |
printerlow | printerhigh } ]
write png file_name [ -window window_name]
[ -blackbackground | -whitebackground
| -monochrome | -colorasdisplayed ]
[ -visiblewindows ] [ -resolution { screen |
printerlow | printerhigh } ]
Exports the contents of a windowas a PNG
or JPEG image file.
write wave [ file.ps | [ -file file.ps ] | [ -printer
printer_name ] ]
[ -window window_name ] [ -landscape |
-portrait ] [ -papersize { a3 | a4 | a5 | b4 | b5 |
letter | tabloid | ledger | legal | executive }]
[ -copies number ] [ -allwaveforms |
-visiblewaveforms ] [ -activewindow |
-allwindows | -visiblewindows ] [ -eps ]
Print a waveform.
Zoom Commands
wave zoomfull [-window window_name] Zoom out to show entire X axis.
wave zoomin [-window window_name] [factor] Zoom in on X axis by specified factor
(default: 2x).
Table B-3. Supported Tcl Commands (cont.)
Tcl Scripting Support
Supported Tcl Commands
EZwave Users and Reference Manual, 2009.2 451
wave zoomlast [-window window_name] Undo most recent zoom operation.
wave zoomout [-window window_name]
[factor]
Zoom out on X axis by specified factor
(default: 2x)
wave zoomrange [-window window_name]
[start] [end]
Zoom in on X axis to range specified by
start and/or end points.
Cursor Commands
getactivecursortime [-window window_name] Return cursor location.
wave activecursor [-window window_name]
[cursor_name]
Specify cursor to be active cursor.
wave addcursor [-window window_name]
[-time time | X_value] [ -name cursor_name ]
Create a new cursor.
wave cursortime [-window window_name]
[-time time | X_value] [cursor_name]
Move cursor to specified position, or return
cursor location if position is not specified.
wave deletecursor [-window window_name]
[cursor_name]
Delete a cursor.
wave lockcursor [ ON | OFF ] Determines whether the spacing between
cursors is fixed.
Display Commands
wave import vcd_file Load contents of VCD file.
wave refresh [-window window_name] Redraw window contents.
wave tile {-cascade | -horizontal | -vertical |
-grid }
Arranges windows in one of the four tiling
options.
Dataset Commands
dataset alias dataset_name [alias_name
[-folder folder_path]]
Assigns aliases to datasets.
dataset clear Clear all waveform data from last opened
database.
dataset close [ database_name ] | [ -all ] Close specific database, or all databases.
dataset info option [database_name] Fetch information about a database. option
is one of:
name Database name
file Path- and filename where
database is saved
exists Whether database is currently
open
dataset list [-long] List open databases.
Table B-3. Supported Tcl Commands (cont.)
EZwave Users and Reference Manual, 2009.2 452
Tcl Scripting Support
Supported Tcl Commands
dataset merge dataset_name filename1
filename_n
Merges databases.
dataset open filename Open a database file.
dataset rename database_name new_name Rename database.
dataset save database_name file_name [ -start
x_start ] [ -end x_stop ] [ -sampling sample ]
[ -atcursors ]
Save database to disk.
dataset savewaveforms file_name [ -start
x_start ] [ -end x_stop ] [ -sampling sample ]
[ -atcursors ] waveform_name
1
Save waveform(s) into the specified
database file.
Workspace Commands
wave activeworkspace [ workspace_name ] Cause a workspace to become active.
wave addworkspace [workspace_name]
[-active]
Creates a new workspace.
Waveform Comparison Commands
compare add (see compare add section for
usage)
Creates the scope for waveform
comparison.
compare clock [-delete] [-offset delay] [-rising |
-falling | -both] clock_name waveform_path
Defines a clock for clocked-mode
comparisons.
compare configure [-clock name] [-recursive]
[-tol delay] [-tolLead delay] [-tolTrail delay]
[-vhdlmatches {ref-logic-value=test-logic-
value:...}] [-vlogmatches {ref-logic-value=test-
logic-value:...}] [-xTol xtolerance]
[-yTol ytolerance] [-threshold 1 | 2]
[-upperthreshold value] [-lowerthreshold value]
[-fixedthreshold value]
comparePath
Modifies options for compare signals and
regions.
compare end Closes active comparison without saving
any information.
compare info [-write filename] Displays results of the comparison in the
main window.
Table B-3. Supported Tcl Commands (cont.)
Tcl Scripting Support
Supported Tcl Commands
EZwave Users and Reference Manual, 2009.2 453
When saving window contents to a Tcl file, an asterisk (*) in an extended waveform name is
interpreted as a wildcard character.
In addition, EZwave recognizes QuestaSim and Questa ADMS commands. Depending on the
commands, these may either be ignored without error by the EZwave Tcl interpreter or executed
by internally applying corresponding actions. The specific responses are given in the following
pages:
QuestaSim Command Support
Questa ADMS Command Support
Specifying Waveforms in Tcl
You can specify one or more waveforms in Tcl in the following ways:
Waveform handles ( handle: <#:#> ) returned by the wfc command.
Fully qualified names in one of the following forms:
<database_name>waveform_name
<database/folder>waveform_name
compare options [-maxsignal n] [-maxtotal n]
[-mode_name] [-tol delay] [-tolLead delay]
[-tolTrail delay] [-vhdlmatches {ref-logic-
value=test-logic-value: }]
[-vlogmatches {ref-logic-value=test-logic-
value: }] [-xTol xtolerance] [-yTol
ytolerance] [-threshold 1|2] [-upperthreshold
value] [-lowerthreshold value]
[-fixedthreshold value]
[-wavewin name] [-noaddwave]
Sets defaults for various waveform
comparison commands.
compare run [startTime] [endTime] Runs the difference computation.
compare savelog filename Saves a log of errors and warnings during
comparison.
compare start [-batch] [-maxsignal n]
[-maxtotal n] reference_dataset [test_dataset]
Begins a new dataset comparison.
1. You can use extended options for this waveform_name. A footnoted waveform_name means that you
can use the following options to select the waveform(s). See the add wave command description for
representation details:
-show representation -recursive -depth level [-signals | -quantities | -terminals ] [ -nets ] [ -ports ] [ -in]
[ -out ] [ -inout ] [ -internal ] [ -i | -through | -flow ] -run { run_number | run_name } -session { previous
| current } [ -adms | -modelsim ] object_name1 ... object_nameN
Table B-3. Supported Tcl Commands (cont.)
EZwave Users and Reference Manual, 2009.2 454
Tcl Scripting Support
Supported Tcl Commands
For example:
<tutorial/Time-Domain_Results>v_middle
Object names. This method allows you to manipulate objects from the design and add a
representation (if necessary). This syntax is consistent with the Questa ADMS add
wave syntax.
The object name syntax consists of the following parts:
o Dataset name The dataset name is the logical name for the JWDB file in which
the object exists. Specifiying the dataset name is optional when only one dataset is
active, or if the command should only apply to the last loaded dataset.
The following keywords are reserved dataset names:
o sim This reserved dataset name specifies the currently active simulation.
o prev This reserved dataset name refers to the PreviousSession folder, if it
exists, in the current dataset. The PreviousSession folder must be activated in the
modelsim.ini file while running Questa ADMS simulations.
o Dataset separator The data separator is used to terminate the dataset name
string. In the EZwave tool, this is a forward slash ( / ).
o Path separator In the EZwave tool, this is a colon ( : ).
o Hierarchical path A set of hierarchy instance names, separated by a path
separator ( : ).
o Object name The name of the object in the design.
Object name syntax examples:
add wave :top:clk
add wave -show ac.vdb :top:out
add wave adc12/:top:x1:out
Tcl Scripting Support
add wave
EZwave Users and Reference Manual, 2009.2 455
add wave
Usage
add wave
[ -overlay ]
[ -append ]
[ -row row_number ]
[ -position {top | bottom | end | before | after | below | row_number } ]
[ -rowtitle title ]
[ -zerolevel {on | off | horizontal | vertical }]
[ -grid {on | off | horizontal | vertical }]
[ -zoomY min_y max_y ]
[ -height pixels ]
[ -color standard_color_name ]
[ -label name ]
[ -literal | -event | -analog-step | -analog-interpolated ]
[ -window window_name ]
[ -symbolic | -binary | -octal | -decimal | -hexadecimal | -unsigned |
-ascii]
[ -collapse ]
[ -expand ]
[ -adms | -modelsim ]
[ -run {run_id | "run_id_1 run_id_2 ... run_id_n"} ]
[ -session {previous | current }]
[ -complexplane | -smithchart {-impedance | -admittance} | -polarchart
{-degree | -radian} | -versus x_wf_name ]
[ -signals | -quantities | -terminals ]
[ -nets ]
[ -in ]
[ -out ]
[ -inout ]
[ -nomode ]
[ -boundary ]
[ -internal ]
[ -i | -through | -flow ]
[ -across ]
[ -free ]
[ -show representation ]
[ -recursive ]
[ -depth level ]
[ wf_name_1 ... wf_name_n ] | [ -separator separator_char wf_name_1 ...
wf_name_n [ -noseparator wf_name_1 ... wf_name_n ]]
Description
This command adds one or more waveforms to a graphical window.
EZwave Users and Reference Manual, 2009.2 456
Tcl Scripting Support
add wave
Parameters
-across
(Optional) Only across quantitites will be returned.
-adms | -modelsim
(Optional) Filters the list of matching waveforms to match those simulated by the Questa
ADMS kernel or those simulated by the QuestaSim (formerly ModelSim) kernel.
-append
(Optional) Adds the waveform to the previously existing row. If no row exists, this
argument is ignored.
-boundary
(Optional) Only analog, digital, or both sides of boundary nets will be returned, depending
on your selection during simulation.
-color standard_color_name
(Optional) Specifies a color for displaying the waveform. You can use standard color names
(for example, red or blue) or the RGB color code.
-collapse
(Optional) If this option is specified, digital buses are displayed with all elements collapsed.
-complexplane | -smithchart [-impedance | -admittance] | -polarchart {-degree | -radian} |
-versus x_wf_name
(Optional) Defines a row type to be used for displaying the waveform.
-depth level
(Optional) Used with the -recursive option, restricts the recursive search to the specified
level of hierarchy.
-expand
(Optional) Displays a digital bus with all its bits expanded.
-free
(Optional) Only Free quantities will be returned.
-grid [ on | off | horizontal | vertical]
(Optional) Activates the grids in the rows where the waveform is displayed. On/Off enables
or disables both horizontal and vertical grids. Horizontal/Vertical enables only the
appropriate horizontal or vertical grids.
-height pixels
(Optional) Specifies the height of the row where the waveform will be displayed. The value
is in pixels.
Tcl Scripting Support
add wave
EZwave Users and Reference Manual, 2009.2 457
-i | -through | -flow
(Optional)
o -i | -flow - Specifies that current waveforms should be displayed (instead of voltage,
displayed by default). This is the same as -show tran.i
o -through - Specifies that only Through quantities matching the net names will be
saved
-in
(Optional) Specifies that the scope of the search is to include ports of mode IN.
-inout
(Optional) Specifies that the scope of the search is to include ports of mode INOUT.
-internal
(Optional) Specifies that the scope of the search is to include internal (non-port) objects.
-label name
(Optional) Specifies a name for the waveform.
-literal | -event | -analog-step | -analog-interpolated
(Optional) Specifies a drawing mode for the waveform that will be displayed.
o -literal - This corresponds to the railroad drawing mode
o -event - This corresponds to the scattered drawing mode
o -analog-step - This corresponds to the sampled drawing mode
o -analog-interpolated - This corresponds to the continuous drawing mode
-nets
(Optional) Specifies that the scope of the search includes terminal, signal, and quantity
items.
-nomode
(Optional) Searches port terminals that do not have IN, OUT, or INOUT modes, and
therfore are not included in seaches that specify the -in, -out, -inout arguments.
-out
(Optional) Specifies that the scope of the search include ports of mode OUT.
-overlay
(Optional) Causes several waveforms added at once to be added in the same row.
-ports
(Optional) Specifies that the scope of the search includes ports of modes IN, OUT, or
INOUT.
EZwave Users and Reference Manual, 2009.2 458
Tcl Scripting Support
add wave
-position {top | bottom | end | before | after | below | row_number }
(Optional) Specifies the position for the waveform inside the graph window.
o top - Adds the signal(s) to the beginning of the list of signals
o bottom | end - Adds the signal(s) to the end of the list of signals
o before | above - Adds the signal(s) before the selected signal in the graph window
o after | below - Adds the signal(s) after the selected signal in the graph window
o row_number - Adds the signal(s) to the specified row number. Row numbers start
from the top of the window with a value of 1 and increase as you go down the
window. Row numbers are dynamic, changing as row are added or removed.
-recursive
(Optional) You may also use -r as a shortcut. Used with wildcard searches. Specifies that
the scope of the search descend recursively into subregions. See also -depth level option.
-row row_number
(Optional) Selects the row in which to display the waveform. The row numbers start at 1
(top-most row). Row numbers dynamically change as rows are added or removed.
-rowtitle title
(Optional) Specifies the title of the row to be added.
-run [ run_id | "run_id_1 run_id_2 ... run_id_n"]
(Optional) This argument is used to find a specific (or several) run(s) of a compound
waveform. run_id can be a numerical value or string, depending on the run identifier.
-session [previous | current]
(Optional) Specifies whether to look in a previous session folder or the current database.
-show representation [ |representation2|...|representationN]
(Optional) Specifies how the signal is to be represented as a waveform. If -show is not
specified, the defaults defined by the wave show command is used. If -show is specified, its
settings are applied beginning where it appears in the script until another -show is issued, or
until the end of the script.
A representation is a complete representation of a net and is composed of an analysis, a
discipline, and a physic. A dot ( . ) separates the analysis portion from the discipline and
physic portion. There is no separator between the discipline and the physic, as follows:
analysis.disciplinephysic
If more than one representation is specified, use a pipe ( | ) to separate them:
analysis.disciplinephysic|analysis.disciplinephysic|analysis.disciplinephysic
The reserved keywords, all and none, can be used as follows:
Tcl Scripting Support
add wave
EZwave Users and Reference Manual, 2009.2 459
-show all
(Optional) Requests all objects found in all analyses
-show analysis.all
(Optional) Requests all objects found in the specified analysis.
-show none
(Optional) Requests objects directly located in the database in the case where there is no
analysis folder.
Refer to Appendix C for details.
Examples of -show usage:
o add wave -show ac.vdb :test:u1:tvout
In this example, the analysis is AC, the discipline is V, and the physic is DB. This
will only search for the waveform named database_name/AC>VDB(:test:u1:tvout)
o add wave -show ffst.vdb.h(1) :top:net1
In this example, the analysis is FFST, the discipline is V, and the physic is DB for
the primary_ physic, and H(1) for the secondary_physic. This will search for the
specified waveform in the last-opened database:
database_name/FSST>VDB(:top:net1).H(1)
-signals | -quantities | -terminals
(Optional) These options can not be specified simultaneously.
o -signals - Only signal nets are searched
o -quantities - Only quantity nets are searched
o -terminals - Only terminal nets are searched
-symbolic | -binary | -octal | -decimal | -hexadecimal | -unsigned | -ascii
(Optional) Specifies a radix for displaying digital bus(es) specified in this command
wf_name_1 . . . wf_name_n
(Optional) Specifies the waveform(s) using one of several accepted syntaxes. See
Specifying Waveforms in Tcl.
You must use the object name method for specifying waveforms when using the -show
representation option.
-window window_name
(Optional) Specifies the window where the waveform should be displayed. If this is not
specified, the waveform is displayed in the currently active window.
EZwave Users and Reference Manual, 2009.2 460
Tcl Scripting Support
add wave
-zerolevel [on | off | horizontal | vertical]
(Optional) Activates the zero-levels in the rows where the waveform is displayed. On/Off
enables or disables both horizontal and vertical levels. Horizontal/Vertical enables only the
appropriate horizontal or vertical levels.
-zoomY min_y max_y
(Optional) Defines the Y limits while displaying the waveform
Example
set result [wfc {wf("<tutorial/Time-Domain_Results>v_load")-
wf("<tutorial/Time-Domain_Results>v_middle")}]
add wave <tutorial/Time-Domain_Results>v_load -color blue $result
# this will plot <tutorial/Time-Domain_Results>v_load and the
# result waveform. The relult waveform will be displayed in blue
Tcl Scripting Support
add workspace
EZwave Users and Reference Manual, 2009.2 461
add workspace
Usage
add workspace [ workspace_name ] [ -active ]
Description
Creates a new workspace in the EZwave session window.
Note
This command is the same as wave addworkspace.
Parameters
workspace_name
(Optional) Specifies the name of the workspace to be created. If workspace_name is not
specified, a default name, Workspace#, is used, where # is a number.
-active
(Optional) Indicates that the workspace created should become the active workspace.
Example
add workspace ADC12
EZwave Users and Reference Manual, 2009.2 462
Tcl Scripting Support
batch_mode
batch_mode
Usage
batch_mode
Description
This command indicates whether the EZwave application or the JWDB server is used, to
check whether the Tcl script is currently in batch mode.
Return Values
1 if used with the JWDB Server (this indicates that the program is in non-graphical batch
mode).
0 if used with the EZwave application (this indicates that the program is in graphical non-
batch mode).
Example
if [batch_mode] {
...
} else { # execute graphical commands
add wave $wf
}
Tcl Scripting Support
compare add
EZwave Users and Reference Manual, 2009.2 463
compare add
Usage
compare add [-clock clock] [-label label] [-mode_name] [-nowin]
[-recursive] [-tol delay] [-tolLead delay] [-tolTrail delay]
[-vhdlmatches {ref-logic-value=test-logic-value:...}]
[-vlogmatches {ref-logic-value=test-logic-value:...}] [-xTol xtolerance]
[-yTol ytolerance] [-threshold 1|2] [-upperthreshold value]
[-lowerthreshold value] [-fixedthreshold value] referencePath [testPath]
[-wave] [-win wname]
Description
The compare add command creates the scope of the comparison (all waveforms, top level
ports, ) and support a set of options, like tolerances, to be applied to the comparison. This
command has additional arguments, compared to QuestaSim commands, to enable defining
tolerances and settings for analog-analog and analog-digital comparison. The same
command is then used in to set up complete mixed-signal comparison. Path of regions is not
supported; a global expression (regular expression) should be used instead. For example,
:top:level1:* rather than :top:level1.
Parameters
-clock clock
(Optional) Specifies the clock definition to use when sampling the specified regions.
Required for a clocked comparison; not used for asynchronous comparisons.
-label label
(Optional) Specifies a name for the comparison when it is displayed in the window.
-mode_name
(Optional) Specifies the mode of waveform types that are compared. The actual values the
option may take are -in, -out, -inout, -internal, -ports, and -all. You can use more than one
mode option in the same command. The following modes available in ADMS are also
supported: -across, -free, -through, -a2d, -d2a, -bidir.
-nowin
(Optional) Specifies that compare signals shouldnt be added to any window. By default,
compare signals are added to the default Wave window. See -wave below.
-recursive
(Optional) Specifies that signals should also be selected in all nested subregions, and
subregions of those, etc.
-tol delay
(Optional) Specifies the maximum time a test signal edge is allowed to lead or trail a
reference edge in an asynchronous comparison. The default is 0. If a unit (for example, ps)
is used with the time value, the time must be placed in curly braces {}.
EZwave Users and Reference Manual, 2009.2 464
Tcl Scripting Support
compare add
-tolLead delay
(Optional) Specifies the maximumtime a test signal edge is allowed to lead a reference edge
in an asynchronous comparison. The default is 0. If a unit (for example, ps) is used with the
time value, the time must be placed in curly braces {}.
-tolTrail delay
(Optional) Specifies the maximumtime a test signal edge is allowed to trail a reference edge
in an asynchronous comparison. The default is 0. If a unit is used (for example, ps) with the
time value, the time must be placed in curly braces {}.
Figure B-1. Graphical Representation of tolLead and tolTrail
-vhdlmatches {ref-logic-value=test-logic-value: }
(Optional) Specifies how VHDL signal states in the reference dataset should match values
in the test dataset. Values are specified in a colon-separated list of match values. For
example:
-vhdlmatches {X=XUD:Z=ZD:1=1HD}
Default is:
{U=UWXD:X=UWXD:0=0LD:1=1HD:Z=ZD:W=UWXD:L=0LD:H=1HD:D=UX01ZWLHD}
The 'D' character represents the '-' "don't care" std_logic value.
-vlogmatches {ref-logic-value=test-logic-value: }
(Optional) Specifies how Verilog signal states in the reference dataset should match values
in the test dataset. Values are specified in a colon-separated list of match values. For
example:
-vlogmatches {0=0:1=1:Z=Z}
Default is:
{0=0:1=1:Z=Z:X=X}
tolTrail
Reference Signal
Test Signal
tolLead
Tcl Scripting Support
compare add
EZwave Users and Reference Manual, 2009.2 465
-xTol xtolerance
(Optional) Specifies the maximum X tolerance (usually time) that test and reference
waveforms are allowed to differ. The default is:
-yTol ytolerance
(Optional) Specifies the maximum Y tolerance that test and reference waveforms are
allowed to differ. The default is:
-threshold 1 | 2
(Optional) Specifies if one or two thresholds should be used when converting analog
waveform to digital waveform in digital-analog comparison. Default is 1.
-upperthreshold value
(Optional) Specifies the value of the upper level to be used for converting an analog
waveform to a digital waveform, in case of analog-digital comparison using two thresholds.
The default is automatically computed based on input waveforms.
-lowerthreshold value
(Optional) Specifies the value of the lower level to be used for converting an analog
waveform to a digital waveform, in case of analog-digital comparison using two thresholds.
The default is automatically computed based on input waveforms.
-fixedthreshold value
(Optional) Specifies the value of the level to be used for converting an analog waveform to
a digital waveform, in case of analog-digital comparison using two thresholds. The default
is automatically computed based on input waveforms.
referencePath
(Optional) Specifies either an absolute or relative path to the reference signal or region, or a
glob expression. Relative paths are relative to the current context of the reference dataset. If
you specify a glob expression, it will match signals only in the containing context.
Some examples:
compare add :top:x1:out
# With wildcard:
compare add :top:x1:*
# With dataset name:
compare add adc12/:top:x1:out
# When a context is defined (see the environment command), then
# the relative path is accepted:
env :top:x1
x_max x_min
number_of_points
--------------------------------------------
0.01 y_max y_min ( )
EZwave Users and Reference Manual, 2009.2 466
Tcl Scripting Support
compare add
compare add out
testPath
(Optional) Specifies an absolute or relative path to the test signal or region. Cannot be a glob
expression. If omitted, the test path defaults to the same path as referencePath except for the
dataset name.
-wave
(Optional) Specifies that compare signals be added automatically to the default Wave
window. Default is true.
-win wname
(Optional) Specifies a particular window to which to add objects. Used to specify a
particular window when multiple instances of that window type exist.
Examples
Add the waveform name tvin to the comparison using the default -show options (see
also Appendix C).
compare add tvin
Tcl Scripting Support
compare clock
EZwave Users and Reference Manual, 2009.2 467
compare clock
Usage
compare clock [-delete] [-offset delay] [-rising | -falling | -both]
clock_name waveform_path
Description
The compare clock command defines a clock that can then be used for clocked-mode
comparisons. In clocked-mode comparisons, signals are sampled and compared only at or
just after an edge on some signal.
Parameters
-delete
(Optional) Deletes an existing compare clock.
-offset delay
(Optional) Specifies a time value for delaying the sample time beyond the specified signal
edge. The default is 0.
-rising | -falling | -both
(Optional) Specifies that the rising, falling, or both (rising and falling edges) of the specified
signal should be used. The default is rising.
clock_name
(Required) A name for this clock definition. This name will be used with the compare add
command when doing a clocked-mode comparison.
waveform_path
(Required) A full path to the waveform whose edges are to be used as the strobe trigger.
Note
This command currently only supports fully qualified names when specifying the
waveform path. See Specifying Waveforms in Tcl for details on fully qualified names.
Examples
Create a clock named myClock that will trigger a comparison on both rising and falling
edges of the source waveform <REF/TRAN>:test:eoc.
compare clock -both myClock <REF/TRAN>:test:eoc
EZwave Users and Reference Manual, 2009.2 468
Tcl Scripting Support
compare configure
compare configure
Usage
compare configure [-clock name] [-recursive] [-tol delay] [-tolLead delay]
[-tolTrail delay] [-vhdlmatches {ref-logic-value=test-logic-value:...}]
[-vlogmatches {ref-logic-value=test-logic-value:...}] [-xTol xtolerance]
[-yTol ytolerance] [-threshold 1|2] [-upperthreshold value]
[-lowerthreshold value] [-fixedthreshold value] comparePath
Description
The compare configure command modifies options for compare signals and regions. The
modified options are applied to all objects in the specified compare path. These settings are
local to the specified compare path; to set default options for all compare paths, use compare
options.
Parameters
-clock name
(Optional) Changes the strobe signal for the comparison. If the comparison is currently
asynchronous, it will be changed to clocked. This switch may not be used with the -tol,
-tolLead, and -tolTrail options.
-recursive
(Optional) Specifies that signals should also be selected in all nested subregions, and
subregions of those, etc.
-tol delay
(Optional) Specifies the maximum time a test signal edge is allowed to lead or trail a
reference edge in an asynchronous comparison. The default is 0.
-tolLead delay
(Optional) Specifies the maximumtime a test signal edge is allowed to lead a reference edge
in an asynchronous comparison. The default is 0.
-tolTrail delay
(Optional) Specifies the maximumtime a test signal edge is allowed to trail a reference edge
in an asynchronous comparison. The default is 0.
Tcl Scripting Support
compare configure
EZwave Users and Reference Manual, 2009.2 469
Figure B-2. Graphical Representation of tolLead and tolTrail
-vhdlmatches {ref-logic-value=test-logic-value: }
(Optional) Specifies how VHDL signal states in the reference dataset should match values
in the test dataset. Values are specified in a colon-separated list of match values. For
example:
-vhdlmatches {X=XUD:Z=ZD:1=1HD}
Default is:
{U=UWXD:X=UWXD:0=0LD:1=1HD:Z=ZD:W=UWXD:L=0LD:H=1HD:D=UX01ZWLHD}
The 'D' character represents the '-' "don't care" std_logic value.
-vlogmatches {ref-logic-value=test-logic-value: }
(Optional) Specifies how Verilog signal states in the reference dataset should match values
in the test dataset. Values are specified in a colon-separated list of match values. For
example:
-vlogmatches {0=0:1=1:Z=Z}
Default is:
{0=0:1=1:Z=Z:X=X}
-xTol xtolerance
(Optional) Specifies the maximum X tolerance (usually time) that test and reference
waveforms are allowed to differ. The default is:
-yTol ytolerance
(Optional) Specifies the maximum Y tolerance that test and reference waveforms are
allowed to differ. The default is:
tolLead tolTrail
Reference Signal
Test Signal
x_max x_min
number_of_points
--------------------------------------------
0.01 y_max y_min ( )
EZwave Users and Reference Manual, 2009.2 470
Tcl Scripting Support
compare configure
-threshold 1 | 2
(Optional) Specifies if one or two thresholds should be used when converting analog
waveform to digital waveform in digital-analog comparison. Default is 1.
-upperthreshold value
(Optional) Specifies the value of the upper level to be used for converting an analog
waveform to a digital waveform, in case of analog-digital comparison using two thresholds.
The default is automatically computed based on input waveforms.
-lowerthreshold value
(Optional) Specifies the value of the lower level to be used for converting an analog
waveform to a digital waveform, in case of analog-digital comparison using two thresholds.
The default is automatically computed based on input waveforms.
-fixedthreshold value
(Optional) Specifies the value of the level to be used for converting an analog waveform to
a digital waveform, in case of analog-digital comparison using two thresholds. The default
is automatically computed based on input waveforms.
comparePath
(Required) Identifies the path of a compare signal, region, or glob expression.
Examples
Modify the previously added tvin waveforms and use a previously created myClock
clock to compare them.
compare configure -clock myClock tvin
Tcl Scripting Support
compare end
EZwave Users and Reference Manual, 2009.2 471
compare end
Usage
compare end
Description
The compare end command closes the active comparison without saving any information.
Parameters
None.
Examples
The comparison is ended, all settings and added waveforms are reset. All clocks that
were created and computed comparison waveforms remain present in the <calc>
database.
compare end
EZwave Users and Reference Manual, 2009.2 472
Tcl Scripting Support
compare info
compare info
Usage
compare info [-write filename]
Description
The compare info command lists the results of the comparison in the main window
transcript. To save the information to a file, use the -write argument.
Parameters
-write
(Optional) Saves the summary information to filename rather than the main window
transcript.
Examples
List all errors in the main window transcript
compare info
Export the results of the comparison (waveformby waveformdifferences) to the text file
report.txt.
compare info -write /path/to/the/report.txt
Tcl Scripting Support
compare options
EZwave Users and Reference Manual, 2009.2 473
compare options
Usage
compare options [-maxsignal n] [-maxtotal n] [-mode_name] [-tol delay]
[-tolLead delay] [-tolTrail delay]
[-vhdlmatches {ref-logic-value=test-logic-value:...}]
[-vlogmatches {ref-logic-value=test-logic-value:...}]
[-xTol xtolerance] [-yTol ytolerance] [-threshold 1|2]
[-upperthreshold value] [-lowerthreshold value] [-fixedthreshold value]
[-wavewin name] [-noaddwave]
Description
The compare options command sets defaults for various waveformcomparison commands.
Those defaults are used when other compare commands are invoked during the current
session. These settings specify the default options for all compare paths; to modify settings
local a specified compare path, use compare configure.
Parameters
-maxsignal n
(Optional) Specifies an upper limit for the total differences encountered on any one signal.
When that limit is reached, the EZwave viwer stops computing differences on that signal.
The default limit is 100.
-maxtotal n
(Optional) Specifies an upper limit for the total differences encountered. When that limit is
reached, the EZwave viewer stops computing differences. The default limit is 1000.
-mode
(Optional) Specifies the mode of waveform types that are compared with the compare add
command. The actual values the option may take are -in, -out, -inout, -internal, -ports, and -
all. You can use more than one mode option in the same command. The following modes
available in ADMS are also supported: -across, -free, -through, -a2d, -d2a, -bidir.
-tol delay
(Optional) Specifies the maximum time a test signal edge is allowed to lead or trail a
reference edge in an asynchronous comparison. The default is 0.
-tolLead delay
(Optional) Specifies the maximumtime a test signal edge is allowed to lead a reference edge
in an asynchronous comparison. The default is 0.
-tolTrail delay
(Optional) Specifies the maximumtime a test signal edge is allowed to trail a reference edge
in an asynchronous comparison. The default is 0.
EZwave Users and Reference Manual, 2009.2 474
Tcl Scripting Support
compare options
Figure B-3. Graphical Representation of tolLead and tolTrail
-vhdlmatches {ref-logic-value=test-logic-value: }
(Optional) Specifies how VHDL signal states in the reference dataset should match values
in the test dataset. Values are specified in a colon-separated list of match values. For
example:
-vhdlmatches {X=XUD:Z=ZD:1=1HD}
Default is:
{U=UWXD:X=UWXD:0=0LD:1=1HD:Z=ZD:W=UWXD:L=0LD:H=1HD:D=UX01ZWLHD}
The 'D' character represents the '-' "don't care" std_logic value.
-vlogmatches {ref-logic-value=test-logic-value: }
(Optional) Specifies how Verilog signal states in the reference dataset should match values
in the test dataset. Values are specified in a colon-separated list of match values. For
example:
-vlogmatches {0=0:1=1:Z=Z}
Default is:
{0=0:1=1:Z=Z:X=X}
-xTol xtolerance
(Optional) Specifies the maximum X tolerance (usually time) that test and reference
waveforms are allowed to differ. The default is:
-yTol ytolerance
(Optional) Specifies the maximum Y tolerance that test and reference waveforms are
allowed to differ. The default is:
tolTrail
Reference Signal
Test Signal
tolLead
x_max x_min
number_of_points
--------------------------------------------
0.01 y_max y_min ( )
Tcl Scripting Support
compare options
EZwave Users and Reference Manual, 2009.2 475
-threshold 1 | 2
(Optional) Specifies if one or two thresholds should be used when converting analog
waveform to digital waveform in digital-analog comparison. Default is 1.
-upperthreshold value
(Optional) Specifies the value of the upper level to be used for converting an analog
waveform to a digital waveform, in case of analog-digital comparison using two thresholds.
The default is automatically computed based on input waveforms.
-lowerthreshold value
(Optional) Specifies the value of the lower level to be used for converting an analog
waveform to a digital waveform, in case of analog-digital comparison using two thresholds.
The default is automatically computed based on input waveforms.
-fixedthreshold value
(Optional) Specifies the value of the level to be used for converting an analog waveform to
a digital waveform, in case of analog-digital comparison using two thresholds. The default
is automatically computed based on input waveforms.
-wavewin name
(Optional) Specifies the default name of the wave window in which compare differences
will be viewed. Related Tcl variable is PrefCompare(defaultWaveWindow).
-noaddwave
(Optional) Specifies that the waveform result of the comparison is not displayed.
Examples
Return the current value of all options.
compare options
Set the maxtotal option to 2000 differences.
compare options -maxtotal 2000
Return the current value of the maxtotal option.
compare options -maxtotal
Verilog X will now match X, Z, or 0.
compare options -vlogmatches {0=0:1=1:Z=Z:X=XZ0}
VHDL std_logic X will now match 'U', 'X', 'W', or 'D'.
compare options -vhdlmatches {X=UXWD}
Set the leading tolerance for asynchronous comparisons to 300 picoseconds.
compare options -tolLead 300p
EZwave Users and Reference Manual, 2009.2 476
Tcl Scripting Support
compare options
Set the trailing tolerance for asynchronous comparisons to 250 picoseconds.
compare options -tolTrail 250p
Set the analog tolerances to 0.5% for both X and Y axes, do not plot the comparison
waveforms and change the number of differences to look for in each waveform to 50.
compare options -xTol 0.5% -yTol 0.5% -noaddwave -maxsignal 50
Tcl Scripting Support
compare run
EZwave Users and Reference Manual, 2009.2 477
compare run
Usage
compare run [startTime] [endTime]
Description
The compare run command runs the difference computation on the signals selected via a
compare add command. Reports in the transcript pane the total number of errors found.
Parameters
startTime
(Optional) Specifies when to start computing differences. Optional. Default is zero.
endTime
(Optional) Specifies when to end computing differences. Optional. Default is the end of the
dataset simulation run that ends earliest.
Examples
Run the comparison, all waveforms that were added to the comparison will now be
compared. For each reference/test pair, a comparison waveform named
"compare_ref_test" is created.
compare run
Run the comparison from 5.3n to 57m
compare run 5.3n 57m
EZwave Users and Reference Manual, 2009.2 478
Tcl Scripting Support
compare savelog
compare savelog
Usage
compare savelog filename
Description
Creates a log file containing error and warning messages generated during waveform
comparison. These messages would normally be displayed in dialog boxes if using the GUI.
Parameters
filename
(Required) Specifies the name and path of the file in which to save the log file.
Examples
compare savelog /user/comparelog.txt
Tcl Scripting Support
compare start
EZwave Users and Reference Manual, 2009.2 479
compare start
Usage
compare start [-batch] [-maxsignal n] [-maxtotal n] reference_dataset
[test_dataset]
Description
The compare start command begins a new dataset comparison. The datasets that you'll be
comparing must already be open.
Parameters
-batch
(Optional) Specifies that comparisons will not be automatically inserted into the wave
window.
-maxsignal n
(Optional) Specifies an upper limit for the total differences encountered on any one signal.
When that limit is reached, the EZwave viwer stops computing differences on that signal.
The default limit is 100.
-maxtotal n
(Optional) Specifies an upper limit for the total differences encountered. When that limit is
reached, the EZwave viewer stops computing differences. The default limit is 1000.
reference_dataset
(Required) The reference dataset to be used as the comparison reference.
test_dataset
(Optional) The dataset to be tested against the reference. If not specified, The EZwave
viewer uses the current simulation. The reference and test datasets may be the same.
Examples
Begin a waveform comparison between a dataset named "gold" and the current
simulation. Assumes the gold dataset was already opened.
compare start gold
This command sequence opens two datasets and starts a comparison between the two
using greater than default limits for total differences encountered.
dataset open gold_typ.wdb gold
dataset open bad_typ.wdb test
compare start -maxtotal 5000 -maxsignal 1000 gold test
EZwave Users and Reference Manual, 2009.2 480
Tcl Scripting Support
dataset alias
dataset alias
Usage
dataset alias dataset_name [ alias_name [-folder folder_path] ]
Description
Adds additional names (aliases) to a dataset.
Parameters
dataset_name
(Required) Specifies the name of the dataset to which the alias is assigned. Use the root
name of the file only. This must be specified as the first argument to the database alias
command.
alias_name
(Optional) Specifies the alias name to assign to the dataset. It also returns a list of all aliases
currently assigned to the specified dataset.
-folder folder_path
(Optional) Specifies the folder name(s) existing in the dataset where the alias name will be
assigned to. If several folder levels are encountered, names are separated by a forward slash
( / ).
Return
A list of aliases currently assigned to the dataset.
Examples
dataset alias gold REF
# Assigns the alias name "REF" to the dataset named "gold"
dataset alias adc12 myfolder -folder saved
# Assigns the alias name "myfolder" to the folder named "saved",
# which exists in the dataset "adc12". This is equivalent to
# <adc12/saved> in the EZwave fully qualified name notation.
Tcl Scripting Support
dataset clear
EZwave Users and Reference Manual, 2009.2 481
dataset clear
Usage
dataset clear
Description
Clears all waveform data from the last opened database.
Parameters
None.
Examples
dataset clear
EZwave Users and Reference Manual, 2009.2 482
Tcl Scripting Support
dataset close
dataset close
Usage
dataset close { database_name | -all }
Description
Closes the specified database or all databases.
Note
If no argument is supplied, the database that was opened last is closed.
Parameters
database_name
(Optional) Specifies the name of the database to be closed.
-all
(Optional) Specifies that all open databases should be closed.
Examples
dataset close -all
Tcl Scripting Support
dataset info
EZwave Users and Reference Manual, 2009.2 483
dataset info
Usage
dataset info option [ database_name ]
Description
Returns the specified information about a database.
Parameters
option
(Required) Specifies the type of information to be returned. option can be one of the
following:
o name Returns the name of the database.
o file Returns the path and filename where the database is saved.
o exists Returns 1 if the database is currently open; otherwise, returns 0.
database_name
(Optional) Specifies the name of the database to get information about. If database_name is
not specified, the active database is used.
Examples
if [dataset info exists adc12 ] {
# do something
} else {
# do something else
}
EZwave Users and Reference Manual, 2009.2 484
Tcl Scripting Support
dataset list
dataset list
Usage
dataset list [ -long ]
Description
Returns a list of currently opened databases.
Parameters
-long
(Optional) If this option is specified, this command also returns the full path of the
databases.
Examples
dataset list
Tcl Scripting Support
dataset merge
EZwave Users and Reference Manual, 2009.2 485
dataset merge
Usage
dataset merge dataset_name filename1 ... filename_n
Description
This command merges databases containing single run simulation results, and generates
compound waveforms from found waveforms.
Parameters
dataset_name
(Required) Specifies the name of the dataset containing the compound waveforms resulting
from the merge.
filename1 filename_n
(Required) Specifies the list of databases to be merged. The wildcard character ( * ) is
allowed in file names. It is not necessary to open the databases prior to this command call.
Example
dataset merge result /user/simu*.wdb
# Merge waveforms contained in all databases matching
# "/user/simu*.wdb", creating a compound waveform in the dataset
# "result".
EZwave Users and Reference Manual, 2009.2 486
Tcl Scripting Support
dataset open
dataset open
Usage
dataset open filename
Description
This command opens a database file.
Parameters
filename
(Required) The name of a database in a supported format.
Return
The database name.
Example
dataset open $env(AMS_VIEWER_HOME)/lib/tutorial.wdb
Tcl Scripting Support
dataset rename
EZwave Users and Reference Manual, 2009.2 487
dataset rename
Usage
dataset rename database_name new_name
Description
Renames the specified database.
Parameters
database_name
(Required) Specifies the database to be renamed.
new_name
(Required) Specifies the new name for the database.
Return
The database name.
Examples
dataset rename adc12 adc12_test
EZwave Users and Reference Manual, 2009.2 488
Tcl Scripting Support
dataset save
dataset save
Usage
dataset save database_name file_name [ -start x_start ] [ -end x_stop ]
[ -sampling sample ] [ -atcursors ]
Description
Saves the specified database to disk as the specified file name.
Parameters
database_name
(Required) Specifies the database to be saved.
file_name
(Required) Specifies the path and file name where the database should be saved.
-start x_start
(Optional) Specifies the X value to be considered as the start value of the database to be
saved.
-end x_stop
(Optional) Specifies the X value to be considered as the end value of the database to be
saved.
-sampling sample
(Optional) Specifies the step value to be used for sampling while saving the database.
-atcursors
(Optional) Use this option to save only the waveform data at cursor locations.
Examples
dataset save calc /user/ADC12/calc.wdb
dataset save meas /user/db/meas1n.wdb -sampling 1n
# Saves database with a sampling of 1n (second) to the file meas1n.wdb
Tcl Scripting Support
dataset savewaveforms
EZwave Users and Reference Manual, 2009.2 489
dataset savewaveforms
Usage
dataset savewaveforms file_name [ -start x_start ] [ -end x_stop ]
[ -sampling sample ] [ -atcursors ] waveform_name
Description
Saves the specified waveform(s) to disk as the specified file name.
Parameters
file_name
(Required) Specifies the path and file name where the database file should be saved.
-start x_start
(Optional) Specifies the X value to be considered as the start value of the database to be
saved.
-end x_stop
(Optional) Specifies the X value to be considered as the end value of the database to be
saved.
-sampling sample
(Optional) Specifies the step value to be used for sampling while saving the database.
-atcursors
(Optional) Use this option to save only the waveform data at cursor locations.
waveform_name [ waveform_name2 ]
(Required) Specifies the waveform(s) to be saved to the database file.
Examples
dataset savewaveforms /user/ADC12/calc.wdb v_load
dataset savewaveforms /user/db/wave1n.wdb -sampling 1n Q0 IN
# Saves the waveforms Q0 and IN with a sampling rate of 1n (second) to
# the file wave1n.wdb
EZwave Users and Reference Manual, 2009.2 490
Tcl Scripting Support
delete wave
delete wave
Usage
delete wave [ -window window_name ] waveform_name
Description
Removes the specified waveform from the indicated window.
Parameters
window_name
(Optional) Specifies the window from which to remove the waveform. If window_name is
not specified, the waveform is removed from the active window.
waveform_name
(Required) Specifies name of the waveform to be removed. You can specify multiple
waveforms and use wildcards. You can also use the following options to select the
waveform(s):
-show representation -recursive -depth level [ -signals | -quantities |
-terminals ] [ -nets ] [ -ports ] [ -in] [ -out ] [ -inout ] [ -internal ]
[ -i | -through | -flow ] -run { run_number | run_name } -session
{ previous | current } [ -adms | -modelsim ] object_name1 ... object_nameN
Examples
delete wave <tutorial/Time-Domain_Results>v_load
Tcl Scripting Support
dofile
EZwave Users and Reference Manual, 2009.2 491
dofile
Usage
dofile file_name
Description
This command tells the EZwave Tcl interpreter to source the specified Tcl file. This
command differs from the Tcl source command in that it allows the Tcl proc to register
into the EZwave Waveform Calculator as a user-defined function.
Parameters
file_name
(Required) Specifies the path and file name of the Tcl file to be sourced by the EZwave Tcl
interpreter.
Return
The string returned by the Tcl file, if any.
Examples
dofile /user/adc12.tcl
EZwave Users and Reference Manual, 2009.2 492
Tcl Scripting Support
environment
environment
Usage
environment [path_name] [-dataset | -nodataset]
env [path_name] [-dataset | -nodataset]
Description
This command displays or changes the region/signal environment. If a path name is
specified, the current region/signal environment is change to that pah name. Otherwise, the
current region/signal environment is displayed.
Note
When the -dataset option is used, this command also displays the database name and
hierarchy as it appears in the database.
Parameters
path_name
(Optional) Specifies the absolute path name to which the current region/signal environment
is to be changed. Relative path names are not allowed.
-dataset
(Optional) If this option is specified, the database path is displayed, followed by the design
hierarchy, as it would appear in the EZwave waveform list.
-nodataset
(Optional) If this option is specified, the path is displayed without any database indications.
Return Values
Returns the path with regards to the hierarchy.
Example
env :test:u1
# This changes the current region/signal environment path to :test:u1
# Returns :test:u1
env -dataset
# Returns <adc12_test/TRAN>:test:u1
Tcl Scripting Support
evalExpression
EZwave Users and Reference Manual, 2009.2 493
evalExpression
Usage
evalExpression expression
Description
This command invokes the EZwave Waveform Calculator to calculate the expression
entered.
If expression is enclosed in double quotes (" "), value substitution is enabled and all strings
beginning with a dollar sign ($) are replaced by the variable they name. If an expression is
enclosed in braces ({ }), value substitution is disabled and the expression is evaluated as
presented.
This command differs from wfc in the type of output it returns.
Parameters
expression
(Required) An expression supported by the EZwave calculator.
Return Values
The result value of the expression. It can be one of the following:
a list of Y-values corresponding to a waveform, represented as a Tcl list of strings
a single number
a list of data represented as a Tcl list of strings for a one-dimensional array
a list of data pairs represented as a Tcl list of string pairs grouped by parentheses for a
two-dimensional array
Example
evalExpression {wf_diff = wf("<tutorial/Time-Domain_Results>v_load") -
wf("<tutorial/Time-Domain_Results>v_middle")}
set diff0 [evalExpression {wftodata(wf_diff)[0][0]}]
EZwave Users and Reference Manual, 2009.2 494
Tcl Scripting Support
exit
exit
Usage
exit [ -force ] [ -discard ]
Description
This command exits the EZwave application.
Parameters
-force
(Optional) If specified, the EZwave application quits and bypasses the confirmation dialog
box. If this option is not specified, the confirmation dialog appears and requires a manual
confirmation.
-discard
(Optional) If specified, the EZwave application quits and bypasses the unsaved data dialog
box (any unsaved data is lost). If this option is not specified, the unsaved data dialog box
appears and requires a manual confirmation.
Caution
If the -discard option is specified, all unsaved data is lost.
Return
None.
Example
exit
exit -force
exit -force -discard
Tcl Scripting Support
getactivecursortime
EZwave Users and Reference Manual, 2009.2 495
getactivecursortime
Usage
getactivecursortime [ -window window_name ]
Description
Returns the location of the active cursor.
Parameters
-window window_name
(Optional) Specifies the window from which to return the location of the active cursor. If
this is not specified, the location of the active cursor in the active window is returned.
Examples
getactivecursortime -window "Wave:2"
Note
The getactivecursortime command is equivalent to the wave cursortime command with
no time or cursor name specified.
EZwave Users and Reference Manual, 2009.2 496
Tcl Scripting Support
printenv
printenv
Usage
printenv [variable_name]
Description
This command displays the name and value of enironment variables. If no variable name is
specified, it returns all environment variable information.
Parameters
variable_name
(Optional) Specifies which environment variable name and value to display. If this is not
specified, the command will return information on all environment variables.
Return
Returns a name and value pair of the specified enviornment varable (or all the variables if
not specified) in the form of:
variable_name = value
Examples
printenv PRINTER
# This returns PRINTER=myprinter
Tcl Scripting Support
quit
EZwave Users and Reference Manual, 2009.2 497
quit
Usage
quit [ -force ] [ -discard ]
Description
This command exits the EZwave application.
Parameters
-force
(Optional) If specified, the EZwave application quits and bypasses the confirmation dialog
box. If this option is not specified, the confirmation dialog appears and requires a manual
confirmation.
-discard
(Optional) If specified, the EZwave application quits and bypasses the unsaved data dialog
box (any unsaved data is lost). If this option is not specified, the unsaved data dialog box
appears and requires a manual confirmation.
Caution
If the -discard option is specified, all unsaved data is lost.
Return
None.
Example
quit
quit -force
quit -force -discard
EZwave Users and Reference Manual, 2009.2 498
Tcl Scripting Support
radix
radix
Usage
radix [ -symbolic | -binary | -octal | -decimal | -hexadecimal | -unsigned
| -ascii ]
Description
Defines the default bus radix or returns the current default if no radix is specified.
Parameters
-symbolic
Ignored; for compatibility purposes only.
-binary
(Optional) Specifies the default radix to be binary.
-octal
(Optional) Specifies the default radix to be octal.
-decimal
(Optional) Specifies the default radix to be decimal (2s complement).
-hexadecimal
(Optional) Specifies the default radix to be octal.
-unsigned
(Optional) Specifies the default radix to be octal.
-ascii
(Optional) Specifies the default radix to be octal.
Examples
radix -binary
Tcl Scripting Support
setenv
EZwave Users and Reference Manual, 2009.2 499
setenv
Usage
setenv variable_name [value]
Description
Sets or displays the value of the specified environment variable. If no value is specified, this
command displays specified environment variables value.
Parameters
variable_name
(Required) Specifies the environment variable name to be set or displayed.
value
(Optional) The value to which the environment variable will be set. If this is not specified,
the command will display the current value.
Return
The value of the environment variable.
Examples
setenv MGC_AMS_HOME /home/smith/2007.2
# Sets the value of the environment variable MGC_AMS_HOME to
# /home/smith/2007.2
setenv MGC_AMS_HOME
# Returns /home/smith/2007.2
EZwave Users and Reference Manual, 2009.2 500
Tcl Scripting Support
unsetenv
unsetenv
Usage
unsetenv variable_name
Description
Unsets an environment variable.
Note
The settings are not persistent and are available only within an EZwave session.
Parameters
variable_name
(Required) Specifies the environment variable name to be unset.
Return
None.
Examples
unsetenv MY_TCL_VAR
Tcl Scripting Support
wave activecursor
EZwave Users and Reference Manual, 2009.2 501
wave activecursor
Usage
wave activecursor [ -window window_name ] [ cursor_name ]
Description
Sets the specified cursor as the active cursor.
Parameters
-window window_name
(Optional) Specifies the window in which to set the active cursor. If this is not specified, the
active cursor is set in the active window.
cursor_name
(Optional) Specifies the cursor to set as the active cursor. If this is not specified, the name of
the active cursor is returned.
Examples
# Make cursor C2 the active cursor in the active window:
wave activecursor C2
EZwave Users and Reference Manual, 2009.2 502
Tcl Scripting Support
wave activeworkspace
wave activeworkspace
Usage
wave activeworkspace [ workspace_name ]
Description
Causes the specified workspace to become active.
Parameters
workspace_name
(Optional) Specifies the name of the workspace to become active. If workspace_name is
omitted, this command returns the current active workspace name.
Return
None if an argument is specified. If no argument is specified, returns the active workspace
name.
Examples
wave activeworkspace ADC12
Tcl Scripting Support
wave addannotation
EZwave Users and Reference Manual, 2009.2 503
wave addannotation
Usage
wave addannotation -x x_value [ -y y_value ] -text text [ -snap ]
[[ - window ] | [ waveform_name ]]
Description
This command adds a text annotation to a waveform at the specified location in the active
window.
Parameters
-x x_value
(Required) Specifies the X value at which the annotation is added. If a Y value is specified,
the annotation is added at the location, ( x_value, y_value ). Otherwise, the command
analyzes the waveform data and places the annotation on the waveform at the specified X
value.
-y y_value
(Optional) Specifies the Y value at which the annotation is added, at the location, ( x_value,
y_value ). If this option is not specified, the command analyzes the waveform data and
places the annotation on the waveform at the specified X value.
-text text
(Required) Specifies the annotation text. Quotation marks ( " " ) are required for text that
include spaces. Annotation text may also include multiple lines by using \n.
-snap
(Optional) Specifies that the text annotation snaps to the nearest waveform datapoint.
-window | waveform_name
(Optional) If waveform_name is specified, the annotation is added to that waveform. If the
waveform is displayed several times in the active window, the last occurrence of the
waveform is annotated. If no waveform name is specified, the last displayed waveform in
the active window is annotated.
If -window is specified, the annotation is added to the active window, and not the waveform.
Return
The annotation identifier marker mk:# where # is a number.
Examples
wave addannotation -x 149.980n -text simple
wave addannotation -x 150n -y 3.3 -text "Annotation with snap" -snap
wave addannotation OUT -x 20p -text jitter
EZwave Users and Reference Manual, 2009.2 504
Tcl Scripting Support
wave addannotation
wave addannotation OUT -x 40p -text "This value shows\n the error in the
design"
Tcl Scripting Support
wave addcursor
EZwave Users and Reference Manual, 2009.2 505
wave addcursor
Usage
wave addcursor [ -window window_name ] [ -time time | X_value ] [ -name
cursor_name ]
Description
Creates a new cursor in the specified window.
Parameters
-window window_name
(Optional) Specifies the window in which to create the cursor. If this is not specified, the
cursor is created in the active window.
-time time
(Optional) Specifies the time value where the new cursor should be created.
X_value
(Optional) Specifies the value along the X axis where the new cursor should be created.
Note
If neither a time nor an X_value is specified, the cursor is created at the beginning of the
visible domain area.
-name cursor_name
(Optional) Specifies a name for the cursor.
Examples
# Add a cursor in the active window at an X value of 100 ns:
wave addcursor 100n
EZwave Users and Reference Manual, 2009.2 506
Tcl Scripting Support
wave addline
wave addline
Usage
wave addline { -x | -y } value [ waveform_name ] [ -text annotation_text ]
[ -drag ] [ -hash { up | down | left | right } ]
Description
This command adds a vertical or horizontal line to a row in the active window.
Parameters
{ -x | -y } value
(Required) Specifies the location and direction of the line to be added. -x value specifies a
vertical line at the specified value on the X axis. -y value specifies a horizontal line at the
specified value on the Y axis. The units correspond to the units used in the waveforms.
-wavefrom_name
(Optional) If this option is specified, the command adds a line marker to that waveforms
row.
-text annotation_text
(Optional) Specifies the text to add to add as an annotation to the line. Quotations ( " " ) are
only required if there are spaces in the text.
-drag
(Optional) If this option is specified, the line marker can be dragged. By default, the added
line can not be dragged.
-hash { up | down | left | right }
(Optional) If this option is specified, a hash pattern is placed in the specified location
relative to the line: up or down for horizontal lines, and left or right for vertical lines. This
may be useful in marking limits in the graph.
Return
The line identifier in the form of mk:# where # is a number.
Example
wave addline -x 150n -text limit -hash left
# Adds a vertical marker at time 150n with hash on left of line, with text
# 'limit'
wave addline -y 3.3 -text "high level" -hash up
# Adds a horizontal marker at 3.3 volts with hash on top up line, with text
# 'high level'
Tcl Scripting Support
wave addmarker
EZwave Users and Reference Manual, 2009.2 507
wave addmarker
Usage
wave addmarker [ -window window_name ] [ -name name ] [ -time { time |
x_value } ]
Description
This command adds a vertical marker to the specified location. This corresponds to the Add
Marker button in the Event Search Tool.
Parameters
-window window_name
(Optional) Specifies the name of the window to which the marker is added. If this option is
not specified, the marker is added to the active window.
-name name
(Optional) Specifies the name to be given to the marker.
-time { time | x_value }
(Optional) Specifies the location of the marker, designated by a time value or an X value. If
this option is not specified, the marker is placed at the left-most point in the window.
Return
The marker identifier in the form of m# where # is a number, starting from 1.
Example
wave addmarker -time 100n
EZwave Users and Reference Manual, 2009.2 508
Tcl Scripting Support
wave addproperty
wave addproperty
Usage
wave addproperty waveform_name -name property_name -value property_value
Description
This command adds a property to a waveform.
Note
This command modifies the dataset. You may use the dataset save command to save
the dataset before exiting. You can then see the property name and value when you
mouse over the waveform name or waveform data.
Parameters
waveform_name
(Required) Specifies the waveform to which the property will be added.
-name property_name
(Required) Specifies the name of the property to be added to the waveform.
-value property_value
(Required) Specifies the value of the property to be added to the waveform. This can be
either a number or a string.
Return
None.
Example
wave addproperty OUT -name PRUN -value 1
Tcl Scripting Support
wave addwindow
EZwave Users and Reference Manual, 2009.2 509
wave addwindow
Usage
wave addwindow [ -title window_title ] [ -divider ratio ]
Description
Creates a new graphical window in the EZwave session window and makes it active.
Parameters
-title window_title
(Optional) Specifies the name of the window to be created. -title may be omitted.
-divider ratio
(Optional) Specifies the position of the divider inside the graph window. The divider is the
vertical line that separates the waveform names and the waveforms area. ratio must be
between 0 and 1. The default value is 0.91.
Return Value
The name of the created window (by default, Wave:#, where # is a number).
Examples
wave addwindow
EZwave Users and Reference Manual, 2009.2 510
Tcl Scripting Support
wave addworkspace
wave addworkspace
Usage
wave addworkspace [ workspace_name ] [ -active ]
Description
Creates a new workspace in the EZwave session window.
Parameters
workspace_name
(Optional) Specifies the name of the workspace to be created. If workspace_name is not
specified, a default name, Workspace#, is used, where # is a number.
-active
(Optional) Indicates that the workspace created should become the active workspace.
Examples
wave addworkspace ADC12
Tcl Scripting Support
wave closewindow
EZwave Users and Reference Manual, 2009.2 511
wave closewindow
Usage
wave closewindow [ window_name ] | [ { -all | -workspace } ]
Description
Closes a specified window, all windows, or all windows in the workspace. If no argument is
specified, this command closes the last window opened.
Parameters
window_name
(Optional) Specifies the name of the graph window to close.
-all | -workspace
(Optional) Specifies whether to close all currently open windows or to close all windows
currently open in the workspace.
Examples
wave closewindow -workspace
EZwave Users and Reference Manual, 2009.2 512
Tcl Scripting Support
wave cursortime
wave cursortime
Usage
wave cursortime [ -window window_name ] [[ -time time ] | [ X_value ]]
[ cursor_name ]
Description
Moves a cursor to the specified position.
Parameters
-window window_name
(Optional) Specifies the window in which to move a cursor. If this is not specified, a cursor
in the active window is moved.
-time time
(Optional) Specifies the time value to which the cursor should be moved.
X_value
Specifies the value along the X axis to which the cursor should be moved.
Note
If neither a time nor an X_value is specified, the cursors location is returned.
cursor_name
(Optional) Specifies the cursor to be moved. If cursor_name is not specified, the command
applies to the active cursor in the specified window.
Examples
# Move cursor C2 in the active window to an X value of 100 ns:
wave cursortime 100n C2
Tcl Scripting Support
wave deletecursor
EZwave Users and Reference Manual, 2009.2 513
wave deletecursor
Usage
wave deletecursor [ -window window_name ] [ cursor_name ]
Description
Deletes the specified cursor.
Parameters
-window window_name
(Optional) Specifies the window from which to delete the cursor. If this is not specified, a
cursor in the active window is deleted.
cursor_name
(Optional) Specifies the cursor to be deleted. If no cursor_name is specified, the active
cursor is deleted
Examples
# Delete cursor C2 in the active window:
wave deletecursor C2
EZwave Users and Reference Manual, 2009.2 514
Tcl Scripting Support
wave displayed
wave displayed
Usage
wave displayed [ { -window window_name | -allwindows | -activewindow } ]
[ -color ]
Description
This command retrieves a list of the displayed waveforms.
Parameters
-window window_name
(Optional) Specifies a window to apply the command to. Only waveforms in the specified
window will be listed.
-allwindows
(Optional) Specifies that displayed waveforms in all windows should be listed.
-activewindow
(Optional) Specifies that only the displayed waveform in the currently active window
should be listed.
-color
(Optional) If this option is specified, RGB color information is included with each displayed
waveform listed.
Return
A Tcl list containing the displayed waveform names and color (if specified).
Examples
wave displayed
# returns:
# <test/tran>v(in)<test/tran>v(out) <test/tran>v(vdd)
wave displayed -color
# returns:
# {<test/tran>v(in) 0,255,0} {<test/tran>v(out) 255,255,0}
# {<test/tran>v(vdd) 0,128,255}
Tcl Scripting Support
wave import
EZwave Users and Reference Manual, 2009.2 515
wave import
Usage
wave import vcd_file
Description
Loads the contents of the specified VCD file.
Parameters
vcd_file
(Required) Specifies the name of the VCD file to be loaded.
Examples
wave import adc12.vcd
EZwave Users and Reference Manual, 2009.2 516
Tcl Scripting Support
wave lockcursor
wave lockcursor
Usage
wave lockcursor [ { ON | OFF } ]
Description
This command specifies whether the distance between cursors are fixed or whether they can
move independently of each other. Omit the argument to display the current cursor lock
setting.
Parameters
ON
(Optional) Specifies that the distance between cursors are fixed. Dragging one cursor will
move the other cursors, keeping the distance between them the same.
OFF
(Optional) Specifies that cursors are able to move independently of each other. Dragging
one cursor does not affect the other cursors.
Examples
wave lockcursor ON
wave lockcursor OFF
Tcl Scripting Support
wave refresh
EZwave Users and Reference Manual, 2009.2 517
wave refresh
Usage
wave refresh [ -window window_name ]
Description
Redraws the contents of the specified window.
Parameters
-window window_name
(Optional) Specifies the window in which to redraw waveforms. If this is not specified,
waveforms in the active window are redrawn.
Examples
wave refresh
EZwave Users and Reference Manual, 2009.2 518
Tcl Scripting Support
wave show
wave show
Usage
wave show representation [ |representation2| |representationN ]
Description
This command defines the default net representation that is used when the add wave
command is issued without the -show option. If the -show option is specified with the add
wave command (add wave -show representation), it temporarily overrides the wave
show defaults during the execution of the add wave command and then returns to the wave
show defaults.
The defined representation defaults remains in effect until another wave show command is
issued or until the end of the script.
If you do not define the waveform representation with this command, the default is defined
as follows:
tran.v|ac.vdb|ac.vp
Parameters
representation [ |representation2|...|representationN ]
(Optional) Specifies how signals are to be represented as a waveform. A representation is a
complete representation of a net and is composed of an analysis, a discipline, and a physic.
A dot ( . ) separates the analysis portion from the discipline and physic portion. There is no
separator between the discipline and the physic, as follows:
analysis.disciplinephysic
If more than one representation is specified, use a pipe ( | ) to separate them:
analysis.disciplinephysic|analysis.disciplinephysic|analysis.disciplinephysic
Refer to Appendix C for the supported representations.
Example
wave show ac.vdb
# The analysis is AC, the discipline is V, and the physic is DB.
wave show ffst.vdb.h(1)
# The analysis is FFST, the discipline is V, and the physic is DB for
# the primary_ physic, and H(1) for the secondary_physic.
Tcl Scripting Support
wave tile
EZwave Users and Reference Manual, 2009.2 519
wave tile
Usage
wave tile { -cascade | -horizontal | -vertical | -grid }
Description
Arranges multiple windows in the specified tiling style.
Parameters
-cascade
(Optional) Arranges the windows in a cascading fashion. Windows are overlapped while
keeping an area of each window exposed so you can click on an individual window to bring
it to the front.
-horizontal
(Optional) Arranges the windows in rows. Windows are displayed above and below
each other.
-vertical
(Optional) Arranges the windows in columns. Windows are displayed side by side.
-grid
(Optional) Arranges the windows in a grid fashion. Windows are displayed side by side in
columns as well as above and below each other in rows.
Examples
wave tile -cascade
EZwave Users and Reference Manual, 2009.2 520
Tcl Scripting Support
wave windowlist
wave windowlist
Usage
wave windowlist
Description
This command returns a list of all open graph windows.
Parameters
None.
Examples
# Retrieves the X axis scale type for each open window
foreach {i} [wave windowlist] {
puts [wave xaxis]
}
Tcl Scripting Support
wave xaxis
EZwave Users and Reference Manual, 2009.2 521
wave xaxis
Usage
wave xaxis [ -scale { linear | log2 | log10 } ] [ -window window_name ]
Description
This command sets the X axis to one of the supported scales: linear, base 2 log, or base 10
log.
Parameters
-scale { linear | log2 | log10 }
(Optional) Specifies the type of scale to use for the X axis. Choose from linear, log2, or
log10. If this option is not specified, this command returns the current X axis scale. This
option corresponds to the X axis Property dialog box.
-window window_name
(Optional) If specified, this option applies the command to the specified window.
Otherwise, the command applies to the active window.
Return
None, if -scale argument is specified. If no -scale argument is specified, this command
returns the current X axis scale.
Examples
wave -scale log2
wave -scale log10 -window Wave:2
EZwave Users and Reference Manual, 2009.2 522
Tcl Scripting Support
wave zoomfull
wave zoomfull
Usage
wave zoomfull [ -window window_name ]
Description
Zooms out to show the entire X axis of the specified graph window.
Parameters
-window window_name
(Optional) Specifies the window to apply the zoom to. If this is not specified, the zoom
applies to the active window.
Example
# Zoom out to show entire X axis of the window "Wave:2":
wave zoomfull -window "Wave:2"
Tcl Scripting Support
wave zoomin
EZwave Users and Reference Manual, 2009.2 523
wave zoomin
Usage
wave zoomin [ -window window_name ] [ factor ]
Description
Zooms in on the X axis specified graph window, by the specified factor.
Parameters
-window window_name
(Optional) Specifies the window to apply the zoom to. If this is not specified, the zoom
applies to the active window.
factor
(Optional) Specifies the zoomfactor to apply. If this is not specified, the default value of 2.0
is used.
Examples
# Zoom X axis of the active window in by a factor of 2.0:
wave zoomin
# Zoom X axis of the window "Wave:2" in by a factor of 2.0:
wave zoomin -window "Wave:2"
# Zoom X axis of the active window in by a factor of 4.0:
wave zoomin 4.0
EZwave Users and Reference Manual, 2009.2 524
Tcl Scripting Support
wave zoomlast
wave zoomlast
Usage
wave zoomlast [ -window window_name ]
Description
Undoes the most recent zoom operation in the specified window.
Parameters
-window window_name
(Optional) Specifies the windowin which to undo the zoom. If this is not specified, the undo
applies to the active window.
Example
# Undo the previous zoom operation in the window "Wave:2":
wave zoomlast -window "Wave:2"
Tcl Scripting Support
wave zoomout
EZwave Users and Reference Manual, 2009.2 525
wave zoomout
Usage
wave zoomout [ -window window_name ] [ factor ]
Description
Zooms out on the X axis of the specified graph window, by the specified factor.
Parameters
-window window_name
(Optional) Specifies the window to apply the zoom to. If this is not specified, the zoom
applies to the active window.
factor
(Optional) Specifies the zoomfactor to apply. If this is not specified, the default value of 2.0
is used.
Note
Zooming over the Y axis is done through an option in the add wave command.
Examples
# Zoom X axis of the active window out by a factor of 2.0:
wave zoomout
# Zoom X axis of the window "Wave:2" out by a factor of 2.0:
wave zoomout -window "Wave:2"
# Zoom X axis of the active window out by a factor of 4.0:
wave zoomout 4.0
EZwave Users and Reference Manual, 2009.2 526
Tcl Scripting Support
wave zoomrange
wave zoomrange
Usage
wave zoomrange [ -window window_name ] [ start ] [ end ]
Description
Zooms in on the X axis to a range bounded by the specified start and/or end points.
Parameters
-window window_name
(Optional) Specifies the window in which to apply the zoom. If this is not specified, the
zoom applies to the active window.
start
(Optional) Specifies the start point for the range to zoom to.
end
(Optional) Specifies the end point for the range to zoom to.
Note
If only one of start and end is specified, start is assumed to be 0 and the specified number
is assumed to be the endpoint. If neither start nor end is specified, the start- and endpoints
for the current zoom level are returned.
Example
# Zoom along the X axis in the current window to a start time of 20 ns and
# an end time of 100 ns:
wave zoomrange 20n 100n
Tcl Scripting Support
wfc
EZwave Users and Reference Manual, 2009.2 527
wfc
Usage
wfc expression
Description
This command invokes the EZwave Waveform Calculator to calculate the expression
entered.
If expression is enclosed in double quotes (" "), value substitution is enabled and all strings
beginning with a dollar sign ($) are replaced by the variable they name. If an expression is
enclosed in braces ({ }), value substitution is disabled and the expression is evaluated as
presented.
This command differs from evalExpression in the type of output it returns.
Parameters
expression
(Required) An expression supported by the EZwave calculator.
Return Values
The result value of the expression. It can be one of the following:
a waveform object handle
a single number
a list of data represented as a Tcl list of strings for a one-dimensional array
a list of data pairs represented as a Tcl list of string pairs grouped by parentheses for a
two-dimensional array
Example
set wf_diff [wfc {wf("<tutorial/Time-Domain_Results>v_load") -
wf("<tutorial/Time-Domain_Results>v_middle")}]
add wave $wf_diff
EZwave Users and Reference Manual, 2009.2 528
Tcl Scripting Support
write jpeg
write jpeg
Usage
write jpeg file_name [ -window window_name ] [ { -blackbackground |
-whitebackground | -monochrome | -colorasdisplayed } ] [ -visiblewindows ]
[ -resolution { screen | printerlow | printerhigh } ]
Description
This command exports the contents of a window to a specified JPEG image file.
Parameters
file_name
(Required) Specifies the file (with full path) to which the window contents are to be saved.
-window window_name
(Optional) If this option is specified, the contents of the specified window is exported.
Otherwise, the contents of the active window is exported.
-blackbackground | -whitebackground | -monochrome | -colorasdisplayed
(Optional) These options specifies the color scheme for the exported image.
-resolution { screen | printerlow | printerhigh }
(Optional) This option specifies the resolution of the exported image file. Selecting screen
sets the output resolution to the screen resolution. printerlow and printerhigh sets the
output resolution to approximately 4x and 16x the screen resolution, respectively.
-visiblewindows
(Optional) Specifies that the image to be created contain all windows as displayed in the
EZwave tool. This argument is particularly useful when exporting tiled windows.
Return
None.
Example
write jpeg /user/adc12.png
Tcl Scripting Support
write png
EZwave Users and Reference Manual, 2009.2 529
write png
Usage
write png file_name [ -window window_name ] [ { -blackbackground |
-whitebackground | -monochrome | -colorasdisplayed } ] [ -visiblewindows ]
[ -resolution { screen | printerlow | printerhigh } ]
Description
This command exports the contents of a window to a specified PNG image file.
Parameters
file_name
(Required) Specifies the file (with full path) to which the window contents are to be saved.
-window window_name
(Optional) If this option is specified, the contents of the specified window is exported.
Otherwise, the contents of the active window is exported.
-blackbackground | -whitebackground | -monochrome | -colorasdisplayed
(Optional) These options specifies the color scheme for the exported image.
-resolution { screen | printerlow | printerhigh }
(Optional) This option specifies the resolution of the exported image file. Selecting screen
sets the output resolution to the screen resolution. printerlow and printerhigh sets the
output resolution to approximately 4x and 16x the screen resolution, respectively.
-visiblewindows
(Optional) Specifies that the image to be created contain all windows as displayed in the
EZwave tool. This argument is particularly useful when exporting tiled windows.
Return
None.
Example
write png /user/adc12.png
EZwave Users and Reference Manual, 2009.2 530
Tcl Scripting Support
write wave
write wave
Usage
write wave [ file.ps | [ -file file.ps ] | [ -printer printer_name ]]
[ -window window_name ] [ -landscape | -portrait ]
[ -papersize { a3 | a4 | a5 | b4 | b5 | letter | tabloid | ledger | legal |
executive }] [ -copies number ] [ -allwaveforms | -visiblewaveforms ]
[ -activewindow | -allwindows | -visiblewindows ] [ -eps ]
Description
This command outputs window contents in PostScript format. It can be sent to a printer or a
specified location.
Parameters
-file file.ps
(Optional) Sets the output file name in PostScript format.
-printer printer_name
(Optional) Sets the printer in the network.
-window window_name
(Optional) Sets the window to be printed. In case no -window is specified, the active
window is printed.
-landscape
(Optional) Sets the printing orientation to be landscape (default).
-portrait
(Optional) Sets the printing orientation as portrait.
-papersize { a3 | a4 | a5 | b4 | b5 | letter | tabloid | ledger | legal | executive }
(Optional) Specifies the paper size for printing. These paper sizes correspond to the sizes
found in the File > Print dialog box.
-copies number
(Optional) Specifies the number of copies to print.
-allwaveforms | visiblewaveforms
(Optional) Specifies whether to print all waveforms or just the visible waveforms. If this
option is not specified, only visible waveforms are printed.
-activewindow | -allwindows | -visiblewindows
(Optional) Specifies which windows to print. By default, when multiple windows are open,
only the active window is printed. If -allwindows is specified, all windows are printed,
one per page. If -visiblewindows is specified, only the visible windows are printed, also
one per page.
Tcl Scripting Support
External Tcl Command Support
EZwave Users and Reference Manual, 2009.2 531
-eps
(Optional) If this option is set, the command generates an Encapsulated PostScript file.
Return
None
Example
write wave dc.ps
External Tcl Command Support
The EZwave Tcl interpreter recognizes commands from QuestaSim (formerly ModelSim) and
Questa ADMS. Depending on the command, the interpreter will ignore the command, or the
EZwave application execute it by internally applying corresponding actions.
Tables B-4 and B-5 list the level of support that the EZwave Tcl interpreter maintains for
QuestaSim and Questa ADMS commands, respectively. In these tables:
Ignore indicates that the EZwave Tcl interpreter ignores the command
Supported indicates that the EZwave application processes the command internally
Table B-4. QuestaSim Command Support
Command EZwave Action Command EZwave Action
.main clear Ignore power on Ignore
abort Ignore power report Ignore
add atv Ignore power reset Ignore
add button Ignore precision Ignore
add dataflow Ignore printenv Supported
add list Ignore process report Ignore
add log Ignore profile clear Ignore
add memory Ignore profile interval Ignore
add testbrowser Ignore profile off Ignore
add watch Ignore profile on Ignore
add wave Supported profile option Ignore
add_cmdhelp Ignore profile reload Ignore
add_menu Ignore profile report Ignore
add_menucb Ignore project Ignore
EZwave Users and Reference Manual, 2009.2 532
Tcl Scripting Support
External Tcl Command Support
add_menuitem Ignore property list Ignore
add_separator Ignore property wave Ignore
add_submenu Ignore push Ignore
alias Ignore pwd Supported
assertion active Ignore questasim Ignore
assertion count Ignore quietly Ignore
assertion fail Ignore quit Supported
assertion pass Ignore qverilog Ignore
assertion profile Ignore radix Supported
atv log Ignore radix define Ignore
batch_mode Supported radix names Ignore
bd Ignore radix list Ignore
bookmark add wave Ignore radix delete Ignore
bookmark delete wave Ignore readers Ignore
bookmark goto wave Ignore record Ignore
bookmark list wave Ignore report Ignore
bp Ignore restart Ignore
cd Supported restore Ignore
cdbg Ignore resume Ignore
change Ignore right Ignore
change_menu_cmd Ignore run Ignore
check contention config Ignore sscom Ignore
check contention off Ignore scgenmod Ignore
check float add Ignore sdfcom Ignore
check float config Ignore search Ignore
check float off Ignore searchlog Ignore
check stable off Ignore seetime Ignore
check stable on Ignore setenv Supported
checkpoint Ignore shift Ignore
compare add Supported show Ignore
Table B-4. QuestaSim Command Support (cont.)
Command EZwave Action Command EZwave Action
Tcl Scripting Support
External Tcl Command Support
EZwave Users and Reference Manual, 2009.2 533
compare annotate Ignore simstats Ignore
compare clock Supported status Ignore
compare configure Supported step Ignore
compare delete Ignore stop Ignore
compare end Supported suppress Ignore
compare info Supported tb Ignore
compare list Ignore tcheck_set Ignore
compare options Supported tcheck_status Ignore
compare reload Ignore toggle add Ignore
compare reset Ignore toggle disable Ignore
compare run Supported toggle enable Ignore
compare savediffs Ignore toggle report Ignore
compare saverules Ignore toggle reset Ignore
compare see Ignore tr color Ignore
compare start Supported tr id Ignore
compare stop Ignore tr order Ignore
compare update Ignore transcribe Ignore
configure Ignored transcript Ignore
context Ignore transcript file Ignore
coverage analyze Ignore tssi2mti Ignore
coverage attribute Ignore typespec Ignore
coverage goal Ignore unsetenv Support
coverage ranktest Ignore up Ignore
coverage tag Ignore vcd add Ignore
coverage testnames Ignore vcd checkpoint Ignore
coverage unlinked Ignore vcd comment Ignore
coverage weight Ignore vcd dumpports Ignore
coverage clear Ignore vcd dumpportsall Ignore
coverage exclude Ignore vcd dumpportsflush Ignore
coverage reload Ignore vcd dumpportslimit Ignore
Table B-4. QuestaSim Command Support (cont.)
Command EZwave Action Command EZwave Action
EZwave Users and Reference Manual, 2009.2 534
Tcl Scripting Support
External Tcl Command Support
coverage report Ignore vcd dumpportsoff Ignore
coverage save Ignore vcd dumpportson Ignore
dataset alias Supported vcd file Ignore
dataset clear Supported vcd files Ignore
dataset close Supported vcd flush Ignore
dataset config Ignore vcd limit Ignore
dataset info Supported vcd off Ignore
dataset list Supported vcd on Ignore
dataset open Supported vcd2wlf Ignore
dataset rename Supported vcom Ignore
dataset restart Ignore vcover attributes Ignore
dataset save Supported vcover ranktest Ignore
dataset snapshot Ignore vcover testnames Ignore
delete Supported vcover convert Ignore
describe Ignore vcover merge Ignore
disablebp Ignore vcover rank Ignore
disable_menu Ignore vcover report Ignore
disable_menuitem Ignore vcover stats Ignore
do Ignore vdel Ignore
down Ignore vdir Ignore
drivers Ignore vencrypt Ignore
dumplog64 Ignore verror Ignore
echo Ignore vgencomp Ignore
edit Ignore view Ignore
enablebp Ignore virtual count Ignore
enable_menu Ignore virtual define Ignore
enable_menuitem Ignore virtual delete Ignore
environment Supported virtual describe Ignore
examine Ignore virtual expand Ignore
exit Supported virtual function Ignore
Table B-4. QuestaSim Command Support (cont.)
Command EZwave Action Command EZwave Action
Tcl Scripting Support
External Tcl Command Support
EZwave Users and Reference Manual, 2009.2 535
fcover configure Ignore virtual hide Ignore
find Supported virtual log Ignore
find infiles Ignore virtual nohide Ignore
find insource Ignore virtual nolog Ignore
formatTime Ignore virtual region Ignore
force Ignore virtual save Ignore
gdb dir Ignore virtual show Ignore
getactivecursortime Supported virtual signal Ignore
getactivemarkertime Ignore virtual type Ignore
help Ignore vlib Ignore
history Ignore vlog Ignore
jobspy Ignore vmake Ignore
layout Ignore vmap Ignore
lecho Ignore vopt Ignore
left Ignore vsim Ignore
log Ignore vsim<info> Ignore
lshift ignore vsim_break Ignore
lsublist Ignore vsource Ignore
macro_option Ignore wave Supported
mem compare Ignore wave create Ignore
mem display Ignore wave edit Ignore
mem list Ignore wave export Supported
mem load Ignore wave import Supported
mem save Ignore wave modify Ignore
mem search Ignore when Ignore
modelsim Ignore where Ignore
next Ignore wlf2log Ignore
noforce Ignore wlf2vcd Ignore
nolog Ignore wlfman Ignore
notepad Ignore wlfrecover Ignore
Table B-4. QuestaSim Command Support (cont.)
Command EZwave Action Command EZwave Action
EZwave Users and Reference Manual, 2009.2 536
Tcl Scripting Support
External Tcl Command Support
noview Ignore write cell_report Ignore
nowhen Ignore write format Ignore
onbreak Ignore write list Ignore
onElabError Ignore write preferences Ignore
onerror Ignore write report Ignore
pause Ignore write timing Ignore
play Ignore write transcript Ignore
pop Ignore write tssi Ignore
power add Ignore write wave Supported
power off Ignore xml2ucdb Ignore
Table B-5. Questa ADMS Command Support
Command EZwave Action Command EZwave Action
add list Ignore usewaveconfig Supported
add log Ignore vcd add Ignore
add wave Supported vcd checkpoint Ignore
batch_mode Supported vcd comment Ignore
cd Supported vcd dumpports Ignore
change Ignore vcd dumpportsall Ignore
checkpoint Ignore vcd dumpportsflush Ignore
debuginfo Ignore vcd dumpportslimit Ignore
drivers Ignore vcd dumpportsoff Ignore
env Supported vcd dumpportson Ignore
examine Ignore vcd file Ignore
exit Supported vcd files Ignore
find Supported vcd flush Ignore
force Ignore vcd limit Ignore
if Supported vcd off Ignore
import_adms Ignore vcd on Ignore
imports_ms Ignore vacom Ignore
Table B-4. QuestaSim Command Support (cont.)
Command EZwave Action Command EZwave Action
Tcl Scripting Support
Tcl Scripting Examples
EZwave Users and Reference Manual, 2009.2 537
Tcl Scripting Examples
This section includes the following Tcl examples:
Tcl Waveform Calculator Batch Commands Example
Creating a User-Defined Function With Tcl Example
Waveform Comparison With Tcl Examples
Tcl Waveform Calculator Batch Commands Example
You can use Tcl scripting to issue batch commands to the EZwave waveform calculator. The
wfc function allows full access to all the calculation operations of the waveform calculator.
In the following Tcl script example, tut1_meas.tcl, the wfc command is used to calculate several
different waveform measurements. The script opens a waveform database file, meas.wdb,
performs several measurement operations, and sends output to an ASCII file, meas.out.
#!/usr/local/bin/tclsh
isavewdb Ignore vadel Ignore
ms Ignore vadir Ignore
noforce Ignore valib Ignore
probe Supported valog Ignore
pwd Supported vamake Ignore
quit Supported vamap Ignore
restart Ignore vamatch Ignore
restore Ignore vasetlib Ignore
run Ignore vasim Ignore
savetranscript Ignore vaspi Ignore
savewaveconfig Supported vaunlock Ignore
savewavewindow Supported vcd2wlf Ignore
savewdb Supported view Ignore
splitio Ignore watch Ignore
statistics Ignore write list Ignore
stop Ignore
Table B-5. Questa ADMS Command Support (cont.)
Command EZwave Action Command EZwave Action
EZwave Users and Reference Manual, 2009.2 538
Tcl Scripting Support
Tcl Scripting Examples
# ###################################################
# additional user defined procedures
proc greater {a b} {
return [ expr { ($a > $b) ? $a : $b } ]
}
# ###################################################
# open database file
dataset open $env(MGC_AMS_HOME)/examples/ezwave/meas.wdb
puts "\nExtracting from EZwave Post Processing"
# ###################################################
# global parameter settings
# .param vdd=3.3
wfc { vdd = 3.3 }
# ###################################################
# .meas tran TD1 when v(in)='vdd/2' td=5ns rise=1
# .meas tran TD2 when v(in)='vdd/2' td=5ns rise=2
wfc { rt_in_ = risetime(wf("<meas/TRAN>V(IN)"), x_start = 5e-9, baseline =
vdd/2, topline = vdd/2, option = "wf") }
set TD1 [ wfc { td1_ = wftodata(rt_in_)[0][0] } ]
set TD2 [ wfc { td2_ = wftodata(rt_in_)[1][0] } ]
# ###################################################
# .meas tran T1 trig at=TD1
# targ v(q0) val='vdd/2' td=5ns rise=1
wfc { rt_q0_ = risetime(wf("<meas/TRAN>V(Q0)"), x_start = greater(td1_,
5e-9), baseline = vdd/2, topline = vdd/2, option = "wf") }
set T1 [ wfc { wftodata(rt_q0_)[0][0] - td1_ } ]
# ###################################################
# .meas tran T2 trig at=TD2
# targ v(q0) val='vdd/2' td=TD2 fall=1
wfc { ft_q0_ = falltime(wf("<meas/TRAN>V(Q0)"), x_start = greater(td2_,
td2_), baseline = vdd/2, topline = vdd/2, option = "wf") }
set T2 [ wfc { wftodata(ft_q0_)[0][0] - td2_ } ]
# ###################################################
# .meas tran T2_C2 trig at=TD2
# targ v(q0) val='vdd/2' td=5ns cross=2
wfc { cr_q0_ = crossing(wf("<meas/TRAN>V(Q0)"), x_start = greater(td2_,
5e-9), ylevel = vdd/2, slopetrigger = "either", option = "value") }
set T2_C2 [ wfc { cr_q0_[1] - td2_ } ]
# ###################################################
# .meas tran T2_R trig at=TD2
# targ v(q0) val='vdd/2' td=5ns rise=last
wfc { cr1_q0_ = crossing(wf("<meas/TRAN>V(Q0)"), x_start = greater(td2_,
5e-9), ylevel = vdd/2, slopetrigger = "rising", option = "wf") }
wfc { last_ = size(cr1_q0_) - 1 }
set T2_R [ wfc { wftodata(cr1_q0_)[last_][0] - td2_ } ]
# ###################################################
# open an ASCII file for saving measurement results
# results are in nanoseconds
set unit {N}
set factor 1e9
set fileout [ open meas.out w+ ]
puts $fileout "\nExtracted from EZwave Post Processing"
puts $fileout [format " TD1\t= %8.4f%s" [ expr $TD1 * $factor ] $unit ]
puts $fileout [format " T1\t= %8.4f%s" [ expr $T1 * $factor ] $unit ]
puts $fileout [format " TD2\t= %8.4f%s" [ expr $TD2 * $factor ] $unit ]
puts $fileout [format " T2\t= %8.4f%s" [ expr $T2 * $factor ] $unit ]
puts $fileout [format " T2_C2\t= %8.4f%s" [ expr $T2_C2 * $factor ] $unit]
puts $fileout [format " T2_R\t= %8.4f%s" [ expr $T2_R *$factor ] $unit]
Tcl Scripting Support
Tcl Scripting Examples
EZwave Users and Reference Manual, 2009.2 539
close $fileout
This Tcl script can be broken down into several distinct functional areas:
Additional User-Defined Procedures
Opening a Database File
Setting Global Parameters
Taking Waveform Measurements
ASCII File Output
Additional User-Defined Procedures
You can use any Tcl native functions and define any procedures using Tcl syntax as in the
following statements:
proc greater {a b} {
return [ expr { ($a > $b) ? $a : $b } ]
}
Opening a Database File
The database file meas.wdb is opened using the following Tcl statement:
dataset open meas.wdb
Setting Global Parameters
For the following .param statement in a SPICE netlist file, the value 3.3 is assigned to the
parameter variable vdd:
.param vdd=3.3
The equivalent Tcl function is as follows:
wfc { vdd = 3.3 }
Taking Waveform Measurements
Electrical specifications (using the .meas command) are computed based on simulation results
and typically printed to an ASCII file. You can use Tcl scripting to take the same
measurements.
In this example, after a specified time delay (td) of 5 nanoseconds, a measurement is taken to
find the exact time when the signal v(in) crosses the voltage level vdd/2 in the first rising event.
The result is saved in the variable TD1.
EZwave Users and Reference Manual, 2009.2 540
Tcl Scripting Support
Tcl Scripting Examples
The following example shows how this is implemented as a .meas statement in a SPICE netlist
file:
.meas tran TD1 when v(in)='vdd/2' td=5ns rise=1
In a second .meas statement, after a 5 nanosecond time delay, a measurement is taken to
determine the time when the signal v(in) crosses the voltage level vdd/2 in the second rising
event. The result is saved in the variable TD2.
.meas tran TD2 when v(in)='vdd/2' td=5ns rise=2
These two measurements (TD1 and TD2) can be implemented using Tcl scripting as in the
following example:
wfc { rt_in_ = risetime(wf("<meas/TRAN>V(IN)"), x_start = 5e-9, baseline =
vdd/2, topline = vdd/2, option = "wf") }
set TD1 [ wfc { td1_ = wftodata(rt_in_)[0][0] } ]
set TD2 [ wfc { td2_ = wftodata(rt_in_)[1][0] } ]
For a third .meas statement, after a 5 nanoseconds time delay, a measurement is taken to find
the difference between the result in TD1 and when the signal v(q0) crosses the voltage level
vdd/2 in the first rising event. The result is saved in the variable T1.
.meas tran T1 trig at=TD1
targ v(q0) val='vdd/2' td=5ns rise=1
The Tcl scripting equivalent to the .meas statement is as follows:
wfc { rt_q0_ = risetime(wf("<meas/TRAN>V(Q0)"), x_start = greater(td1_,
5e-9), baseline = vdd/2, topline = vdd/2, option = "wf") }
set T1 [ wfc { wftodata(rt_q0_)[0][0] - td1_ } ]
For the fourth .meas statement, after a TD2 time delay, the a measurement is taken to determine
the difference between TD2 and when the signal v(q0) crosses the voltage level vdd/2 in the
first falling event. The result is saved in the variable T2.
.meas tran T2 trig at=TD2
targ v(q0) val='vdd/2' td=TD2 fall=1
The Tcl scripting equivalent is as follows:
wfc { ft_q0_ = falltime(wf("<meas/TRAN>V(Q0)"), x_start = greater(td2_,
td2_), baseline = vdd/2, topline = vdd/2, option = "wf") }
set T2 [ wfc { wftodata(ft_q0_)[0][0] - td2_ } ]
For the fifth .meas statement, after a 5 nanosecond time delay, a measurement is taken to find
the difference between TD2 and when the signal v(q0) crosses the voltage level vdd/2 in the
second crossing event, either rising or falling. The result is saved in the variable T2_C2.
.meas tran T2_C2 trig at=TD2
targ v(q0) val='vdd/2' td=5ns cross=2
Tcl Scripting Support
Tcl Scripting Examples
EZwave Users and Reference Manual, 2009.2 541
The Tcl scripting equivalent is as follows:
wfc { cr_q0_ = crossing(wf("<meas/TRAN>V(Q0)"), x_start = greater(td2_,
5e-9), ylevel = vdd/2, slopetrigger = "either", option = "value") }
set T2_C2 [ wfc { cr_q0_[1] - td2_ } ]
In the final .meas statement, after a 5 nanosecond time delay, a measurement is taken to find the
difference between the time specified by TD2 and when the signal v(q0) crosses the voltage
level vdd/2 in the last rising event. The result is saved in the variable T2_R.
.meas tran T2_R trig at=TD2
targ v(q0) val='vdd/2' td=5ns rise=last
The Tcl scripting equivalent is as follows:
wfc { cr1_q0_ = crossing(wf("<meas/TRAN>V(Q0)"), x_start = greater(td2_,
5e-9), ylevel = vdd/2, slopetrigger = "rising", option = "wf") }
wfc { last_ = size(cr1_q0_) - 1 }
set T2_R [ wfc { wftodata(cr1_q0_)[last_][0] - td2_ } ]
ASCII File Output
In the final section of the example Tcl code, the results of all measurements (TD1, TD2, T2R,
and T2_C2) are output to an ASCII file, meas.out.
# results are in nanoseconds
set unit {N}
set factor 1e9
set fileout [ open meas.out w+ ]
puts $fileout "\nExtracted from EZwave Post Processing"
puts $fileout [format " TD1\t= %8.4f%s" [ expr $TD1 * $factor ] $unit]
puts $fileout [format " T1\t= %8.4f%s" [ expr $T1 * $factor ] $unit]
puts $fileout [format " TD2\t= %8.4f%s" [ expr $TD2 * $factor ] $unit]
puts $fileout [format " T2\t= %8.4f%s" [ expr $T2 * $factor ] $unit]
puts $fileout [format " T2_C2\t= %8.4f%s" [ expr $T2_C2 * $factor ] $unit]
puts $fileout [format " T2_R\t= %8.4f%s" [ expr $T2_R *$factor ] $unit]
close $fileout
Creating a User-Defined Function With Tcl Example
It can be useful to use Tcl to define a function that you use regularly. For example, you need to
compute the group delay V(S) of a complex waveform in the Waveform Calculator with the
following formula:
GD=drv(cphase(wf("<database>/AC/V(S)")))/360
In this case, you would create a user-defined function with Tcl as follows:
proc gd { wave } {
wfc " drv(cphase($wave))/360 "
}
EZwave Users and Reference Manual, 2009.2 542
Tcl Scripting Support
Tcl Scripting Examples
Save this definition in a file called gd.tcl and do either of the following to access the function:
Loading the User-Defined Function
a. In the Waveform Calculator, select File > Open Custom Function File to open a
file browser.
b. Navigate to and select the user-defined Tcl file (in this example, the gd.tcl file).
c. Click Open to load the file into the Waveform Calculator.
The function is now available in User-Defined Functions of the Funcs tab.
Automatcially Loading User-Defined Functions at Start-Up
You can set the EZwave viewer to automatically load all user-defined functions into the
Waveform Calculator at start-up.
a. Place all your user-defined function Tcl files in one directory.
b. Select Edit > Options to open the EZwave Display Preferences dialog box, and
select Waveform Calculator > General.
c. Select Load User Extension Files at Startup.
d. Type the path to your user-defined functions dirctory in the Directory Path field.
You can also click Browse to use the file browser to select the directory.
e. Click OK.
f. Restart the EZwave waveform viewer.
When you now open the Waveform Calculator, your user-defined functions are
automatically loaded into the User-Defined Functions tree of the Funcs tab.
Figure B-4. User-Defined Function Loaded in the Waveform Calculator
Tcl Scripting Support
Tcl Scripting Examples
EZwave Users and Reference Manual, 2009.2 543
Waveform Comparison With Tcl Examples
This section contains the following Tcl examples for waveform comparison:
Compare All Waveforms With Default Options
Compare All Waveforms Using a Clocked Comparison
Compare Specific Waveforms With Modified Tolerances, Write a Report
Compare Waveforms Using All Available Comparison Commands
Compare All Waveforms With Default Options
dataset open /my/path/to/ReferenceDatabase.wdb REF
dataset open /my/other/path/to/TestDatabase.wdb TEST
compare start REF TEST
compare add -r *
compare run
compare end
This script begins by opening two databases and beginning a comparison. To start a
comparison, the reference and test databases must be opened beforehand. The next adds all
waveforms recursively.
At this stage the comparison can be run. If errors or warning occur during the comparison, a log
file named "comparison.log" is created in the $MGC_TMPDIR directory (see the compare
savelog command). Ending the comparison will reset the list of waveforms to be compared.
Compare All Waveforms Using a Clocked Comparison
dataset open /my/path/to/ReferenceDatabase.wdb REF
dataset open /my/other/path/to/TestDatabase.wdb TEST
compare start REF TEST
compare clock -both myClock <REF/TRAN>:test:eoc
compare add -clock myClock -r *
compare run
compare end
The basic steps in this script are similar to the preceding script with the addition of a clock
creation. This script creates a clock named myClock that will trigger a comparison on both
rising and falling edges of the its source waveform <REF/TRAN>:test:eoc.
When adding the waveforms to the comparison, the script specifies the clock to use during the
computation of the comparison waveforms.
EZwave Users and Reference Manual, 2009.2 544
Tcl Scripting Support
Tcl Scripting Examples
Compare Specific Waveforms With Modified Tolerances, Write a
Report
dataset open /my/path/to/ReferenceDatabase.wdb REF
dataset open /my/other/path/to/TestDatabase.wdb TEST
compare start REF TEST
compare options -xTol 0.5% -yTol 0.5% -tolLead 0.1e-6 -tolTrail 0.2e-6
compare add -r tvin
compare run
compare info -write /path/to/the/report.txt
compare end
This script modifies the default tolerances for customprecision comparison of waveforms. First,
for analog waveforms, the script specifies a tolerance of 0.5%for both X and Y axes. Then, for
digital waveforms, the leading tolerance is set to 0.1 and trailing tolerance is set to 0.2 . The
script only adds waveforms named tvin (recursively, as indicated by -r) and then runs the
comparison. Finally the script exports the comparison report (waveform by waveform
differences) to the text file report.txt. Running the comparison and exporting the report are
two independent steps: you can write a report without running the comparison beforehand; the
exporting process will run the comparison for you.
Compare Waveforms Using All Available Comparison Commands
compare start REF TEST
compare options -xTol 0.5% -yTol 0.5% -noaddwave -maxsignal 50
compare clock -both myClock <REF/TRAN>:test:eoc
compare add tvin
compare configure -clock myClock tvin
compare run
compare info -write /path/to/the/report.txt
compare end
This final version of the script uses all available commands within a single script. The main
difference here is that after adding the waveforms named tvin to the comparison, we
reconfigure them to use a clocked comparison.
EZwave Users and Reference Manual, 2009.2 545
Appendix C
Supported Net Representation Components
This appendix describes the supported net representation syntax and values for the wave show
Tcl command and the -show option of the add wave Tcl command. This command and option
specify how the signal is to be represented as a waveform. The wave show representation is
used as the default representation when the add wave command is issued without the -show
option. If -show is specified, it is applied beginning where it appears in the script until another
-show is issued, or until the end of the script.
If no wave show or add wave -show commands are issued, the default representation is as
follows:
tran.v|ac.vdb|ac.vp
The syntax for the wave show command and -show option is as follows:
wave show representation [ |representation2|...|representationN]
add wave -show representation [|representation2|...|representationN]
The reserved keywords, all and none, can be used as follows:
-show all
Requests all objects found in all analyses
-show analysis.all
Requests all objects found in the specified analysis.
-show none
Requests no objects in the case where there is no analysis folder.
representation
A representation is a complete representation of a net and is composed of an analysis, a
discipline, and a physic. A dot ( . ) separates the analysis portion from the discipline and physic
portion. There is no separator between the discipline and the physic, as follows:
analysis.disciplinephysic
If more than one representation is specified, use a pipe ( | ) to separate them:
EZwave Users and Reference Manual, 2009.2 546
Supported Net Representation Components
analysis.disciplinephysic|analysis.disciplinephysic|analysis.disciplinephysic
analysis
The analysis portion of the representation specifies the kind of analysis to use to wave a net.
For example, in the same simulation, we can request an AC and a TRAN analysis. And in this
case, we may want to see the waveformof one net for the AC analysis and of another net for the
TRAN analysis. Supported analyses are shown in the following table. For details on the values
in the table, please refer to the Eldo manual.
discipline
The discipline portion of the representation specifies a discipline of an analog net. For example,
current or voltage. Supported disciplines are shown in the following table. For details on the
values in the table, please refer to the Eldo manual.
Table C-1. Supported analysis Values
AC DC DSP EXTRACT
LSTB MEAS MODSST NOISE
NOISETRAN OP OPFOUR SST
SSTAC SSTNOISE SSTXF TRAN
Table C-2. Supported discipline Values
A E IFNCELL POW TABLE
ACC_STRESS FLKNOISE IG POWDYN TEMP
AVG FLUX IN POWSTAT TGP
B FLOUR INX Q TGP_
B_OPT FT IOUT QB THNOISE
BETAAC FUND_OSC IPIN QBD V
BETADC G IPROBE QBS VALDIP
BFACTOR G_OPT IS QC VB
BOPT GA ISTAT QCELL VBC
C GA_ ISUB QD VBD
CBB GAC IW QE VBE
CBD GAC_ IX QG VBS
CBDJ GAM KFACTOR QS VC
CBG GAM_ LSC RBB VCE
Supported Net Representation Components
EZwave Users and Reference Manual, 2009.2 547
physic
The physic portion of the representation specifies the physical representation of the waveform.
For example, for a frequency signal that is complex, you can choose to see its magnitude in DB,
CBS GAMMA_OPT LSC_ RBNOISE VCS
CBSX GAMMA_OPT_ LSTB RCNOISE VD
CBX GASM LSTB_ RDNOISE VDIP
CCS GASM_ LT_JITTER RENOISE VDS
CD GAUM LV REP VDSE
CDD GAUM_ LX RGNOISE VDSS
CDG GDS MUFACTOR RMU VE
CDF GMB MUFACTOR_L RNEQ VES
CDS GMIBD MUFACTOR_S RO VG
CGB GMIBS MV RPI VGB
CGBO GOPT MVBD RSNOISE VGS
CGDO GP_ MVBS RX VGSE
CGG GPC MVDS S VNEG
CGS GPC_ MVGB SG VPOS
CGSO H MVGS SSC VS
CMU I N SSC_ VT
CPI IB NC SST VTCELL
CSB IBDNOISE NC_ SSTINOISE VTH
CSD IBNOISE NET_POLE SSTINOISE.H VTH_D
CSG IBS NET_POLE_ SSTNOISE VX
CSS IBSNOISE NFMIN SSTNOISE.H VXN
CXS IC NFMIN_ SSTONOISE W
DATA ICNOISE NOISE SSTONOISE.H WOPT
DATA_CTE ID OPMODE SSTSNF XF
DATA_LIN IDNOISE P STD Y
DBG IDS PDB STRESS YOPT
DSP IE PHI_OPT T Z
Table C-2. Supported discipline Values (cont.)
EZwave Users and Reference Manual, 2009.2 548
Supported Net Representation Components
its phase, or just the real portion. It may also include, for example, the harmonic number of a
large signal frequency analysis.
The physic portion is composed of a primary_physic and an optional secondary_physic,
separated by a dot ( . ).
primary_physic
The supported primary_physic values are shown in the following table. For details of the values
in the table, please refer to the Eldo manual.
secondary_physic
The secondary_physic is in the form of:
h(index)
where index is an integer literal. If more than one index value is appropriate, separate the index
values by commas ( , ).
Examples
Examples of wave show and -show usage:
wave show ac.vdb
In this example, the analysis is AC, the discipline is V, and the physic is DB.
add wave -show ffst.vdb.h(1) :top:net1
In this example, the analysis is FFST, the discipline is V, and the physic is DB for the
primary_ physic, and H(1) for the secondary_physic. This will search for the specified
waveform in the last-opened database: database_name/FSST>VDB(:top:net1).H(1)
Table C-3. Supported primary_physic Values
D GD P SOL
DB I PRED T
DIG M R
DSOL MAG RAD
EZwave Users and Reference Manual, 2009.2 549
Appendix D
Troubleshooting
This Troubleshooting section contains the following topics:
Known Problems and Workarounds
Linux Printing Notes
Multi-Threading on Linux
Out of Memory
Logfiles
System Error Codes
Contacting the Customer Support Center
Known Problems and Workarounds
This section describes known problems and their workarounds for the EZwave 2009.2 release.
Running EZwave fails with the following message:
Unable to start get_ezwave_licencse process: check your path
This problem is caused by a Java bug that prevents the execution of a script from Java if the
script belongs to a different user and group, even if read and execute permissions are
correctly set. This problem occurs only if the users group is not the primary group. In
this case, execution fails if read and execute permissions are not granted to other (or
public).
For more information on this Java bug, please visit:
http://bugs.sun.com/bugdatabase/view_bug.do?bug_id=4052517
Workaround: Ensure that the file $MGC_AMS_HOME/bin/ams_command.sh permissions
are set to 755. The user in charge of the AMS installation can execute the following
command:
chmod 755 $MGC_AMS_HOME/bins/ams_command.sh
The EZwave tool generates the following error message during invocation:
Font specified in font.properties not found
--symbol-medium- r-normal--*-%d-*-*-p-*-adobe-fontspecific]
EZwave Users and Reference Manual, 2009.2 550
Troubleshooting
Linux Printing Notes
Workaround 1: Install the Symbol font. The font that the Java virtual machine searches for
is symbol.ttf. This font is available on most machines.
You may get a copy of the font and copy it to the JRE fonts directory, for example,
$MGC_AMS_HOME/jre/.../lib/fonts. In the fonts directory, there is a file named fonts.dir
that lists the available, scalable fonts for JRE. Modify this file (you may need to be root) to
include the symbol.ttf font file as in the following example:
symbol.ttf -urw-symbol-medium-r-normal--0-0-0-0-p-0-adobe-fontspecific
After you have added the preceding line, increment the number on the first line of the file by
one. The number indicates the total number of fonts listed in the file.
Workaround 2: Alternatively, make the font definitions specified in the font.properties file
match what are available on your system. You need to change the symbol lines in the file
from the following:
--symbol-medium-r-normal--*-%d-*-*p-*-adobe-fontspecific
to the following:
-urw-symbol-medium-r-normal--*-%d-*-*p-*-urw-fontspecific
The EZwave tool appears to be using large amounts of memory or processor time.
Workaround: Multiple identically-sized processes may appear as a result of a Linux method
of handling threads. Refer to the Multi-Threading on Linux section of Appendix D in the
EZwave Users Guide for details about this problem and a possible solution.
When loading CSV files through a Tcl script, Supported Net Representation Components are
not fully functional.
Workaround: When opening CSV databases using a Tcl script, use the EZwave fully
qualified naming convention.
For example, you want to display V(OUT) froma time domain analysis in the currently open
database named adc12. Because of this limitation, you must use
add wave <adc12/TRAN>V(OUT)
# Fully qualified naming syntax, works for CSV and other file formats.
instead of
add wave OUT
# Supported net representation syntax, currently does not work with
# CSV files
Linux Printing Notes
If you are having difficulties using /usr/sbin/lpc to print from a system running Linux, use the
following steps to troubleshoot your printing process.
Troubleshooting
Linux Printing Notes
EZwave Users and Reference Manual, 2009.2 551
Ensure that /usr/sbin/lpc is available on the machine. The Java Configuration Requirement for
Linux states, "To print on Linux, the /usr/sbin/lpc utility must be installed. This is a standard
Linux utility. On RedHat 7.1, for example, this utility is in the 'LPRng-3.7.4-22 RPM' package."
On RedHat 3.0, the CUPS package is sometimes used by default, instead of LPRNG. Use the
following commands to check whether LPRNG or CUPS is installed on your system:
rpm -qa | grep LPR
If this command returns a package name, the LPRNG package is installed. If so, refer to this
section.
rpm -qa | grep cups
If this command returns a package name, the CUPS package is installed. If this is the case, refer
to this section.
If You Are Using LPRNG
1. Look for the following configuration files:
/etc/printcap
Verify that some printers are defined in this file. If this file does not exist, the
EZwave application is normally unable to print. This file is automatically generated
during printing configuration executed by the root admin.
/etc/lpd.perms
This file is optional. If it exists, verify that the following lines are present:
# allow anybody to get server, status, and printcap
ACCEPT SERVICE=C LPC=lpd,status,printcap
/etc/lpd.conf
This file is mandatory. It may be empty. If it is not empty, it may contain settings
that prevent proper printing.
2. If the preceding files are all correct, try the following command:
/usr/sbin/lpc status
This should return a list of printers with configuration and status details. For example:
myprinter:
printer is on device 'lpd' speed -1
queuing is enabled
printing is enabled
no entries
daemon present
3. Verify that the LPD daemon is running with the following command:
EZwave Users and Reference Manual, 2009.2 552
Troubleshooting
Linux Printing Notes
/bin/ps -auxww | grep lpd
An lpd process must be running; otherwise, printing will fail.
If You Are Using CUPS
1. Execute the following command:
/usr/sbin/lpc status
This should return a list of printers with configuration and status details. For example:
myprinter:
printer is on device 'lpd' speed -1
queuing is enabled
printing is enabled
no entries
daemon present
2. Verify that the cups printer daemon is running with the following command:
/bin/ps -auxww | grep cupsd
A cupsd process must be running; otherwise, printing will fail.
3. Verify that the following configuration files exist in the /etc/cups directory:
classes.conf
client.conf
cupsd.conf
mime.convs
mime.types
printers.conf
This is the basic CUPS recommended configuration.
4. Log in to the machine as root and execute the following command:
lpstat -v
This should return address information on printers.
Look for the corresponding line for the printer named "myprinter". For example:
device for myprinter: lpd://173.21.21.1/myprinter
Register the printer using the command:
/usr/sbin/lpadmin -r myprinter -E -v lpd://173.21.21.1/myprinter
-m myprinter.ppd
Troubleshooting
Multi-Threading on Linux
EZwave Users and Reference Manual, 2009.2 553
Then, restart the cups daemon:
path/cups restart
path may be one of the following:
/etc/software/init.d
/etc/rc.d/init.d
/etc/init.d
/sbin/init.d
Multi-Threading on Linux
Sometimes you may see multiple identically sized Java processes for one EZwave invocation,
for example, with the ps or top command in a UNIX command shell. For a multi-threaded
application on Linux, the ps and top commands return information that may be misleading,
depending of the version of the libpthread.so library. The application uses only the resources
indicated for one "process" rather than the sum of all such processes.
Some Linux kernel versions use what is called "LinuxThreads." In the LinuxThreads
implementation of threads, some IDs are stored in the /proc file system; the ps and top
commands consider such threads as separate processes, each of them using the same amount of
resources, which can mislead the user.
Anewmethod of handling multi-threading on Linux, called "Native POSIXThreading Library"
(NPTL), has been implemented. This new implementation is fully POSIX-complaint, whereas
the previous one was not fully POSIX-compliant. When you use this implementation, the
system displays only one process using the correct amount of memory. This implementation is
available by default on RedHat Linux 9.0. You can activate NPTL under RHEL3.0 by setting
the the LD_LIBRARY_PATH and LD_ASSUME_KERNEL environment variables.
Caution
NPTL use under RHEL3.0 for EZwave has not been tested until recently. This is not yet a
supported configuration, and usage of it is considered to be at your own risk.
To activate NPTL under RHEL3.0:
setenv LD_LIBRARY_PATH /lib/tls:$LD_LIBRARY_PATH
setenv LD_ASSUME_KERNEL value
setenv AMS_USE_ENV 1
where value should be a value greater than or equal to 2.4.20.
EZwave Users and Reference Manual, 2009.2 554
Troubleshooting
Out of Memory
Note
LD_ASSUME_KERNEL allows you to point to different glibc implementations; the
impact on other tools is not known.
In order to verify that the NPTL libraries are used, you can run the following command:
ldd $MGC_AMC_HOME/jre1.4.2_10/i686/os2.4/jre/bin/java
The output should be similar to the following:
libpthread.so.0 => /lib/tls/libpthread.so.0 (0xb75da000)
libdl.so.2 => /lib/libdl.so.2 (0xb75c4000)
libc.so.6 => /lib/tls/libc.so.6 (0xb748d000)
/lib/ld-linux.so.2 => /lib/ld-linux.so.2 (0xb75eb000)
You can also check that nptl is defined in the libpthread.so library:
nm /lib/tls/libpthread.so.0 | grep nptl
This should give you output similar to the following:
000046a0 t __GI___nptl_create_event
000046b0 t __GI___nptl_death_event
000046a0 t __nptl_create_event
000046b0 t __nptl_death_event
0000fcc8 b __nptl_last_event
000046c0 t __nptl_main
0000da08 d __nptl_nthreads
0000fcc0 b __nptl_threads_events
0000bae8 r _thread_db___nptl_last_event
0000badc r _thread_db___nptl_nthreads
0000b8a0 r nptl_version
Out of Memory
Out-of-memory errors may occur when you are working with very large files within the
EZwave application. If you encounter out-of-memory problems, you may need to increase the
Java Virtual Machines (JVM) memory heap size. By default, the minimum heap size starts at
100 megabytes and can grow to a maximum of 1000 megabytes. To change this, simply
increase the values in the following environment variable setting:
setenv AMS_JAVA_MEMORY_HEAP "-Xms100M -Xmx2000M"
The first value sets the minimumheap size at 100 megabytes and the second value tells the JVM
that it can increase the memory heap to a maximum size of 2000 megabytes.
The maximum heap size you can use depends on your system, but generally, it is 2.4 GB. To
determine the maximum heap size that your system can handle, gradually increase the -Xmx
value and start the EZwave application (without specifying a .wdb file). When you reach a value
Troubleshooting
Logfiles
EZwave Users and Reference Manual, 2009.2 555
that is too high, the EZwave application will return an error telling you that it cannot handle the
specified memory allocation.
The EZwave tool detects and reports memory shortages in the following cases:
Machine memory is nearly exhausted
Disk is full
Maximum allowed EZwave memory is almost reached
In these cases, information is reported to you, and the simulator performs an automatic save of
simulation information (time domain simulation).
Logfiles
This product creates a logfile on your system when it is active. The logfile keeps track of the
commands issued during each viewing session. Should you experience difficulty in using this
product, you may be asked to locate or provide the logfile from your session.
You can view the logfile using any standard text editor.
Logfile Locations
Logfile locations vary based on the installation directory structure used by the host application.
Because products using EZwave can send the logfile to a location of their choosing, your
installation directory specification should not carry unique characters that may prohibit the
logfile from being created correctly.
Special characters to be avoided are in the table below:
Table D-1. Characters to Avoid in Logfiles
Symbol Character
* asterisk
^ caret
( open parentheses
) close parentheses
: colon
; semicolon
` accent grave
' single straight quotation marks
" straight quotation marks
EZwave Users and Reference Manual, 2009.2 556
Troubleshooting
System Error Codes
System Error Codes
In the following table is a list of system error codes. These codes are displayed when system-
call errors are encountered. The table lists the error codes for the Linux (32 and 64 bit) and
Solaris operating systems. For further details on these error codes, please check your operating
systems users manual.
= equal sign
, comma
/ forward slash
? question mark
> right angle brackets
Table D-2. System Error Codes
Error
Code
Linux Solaris
1 Operation not permitted Not super-user
2 No such file or directory No such file or directory
3 No such process No such process
4 Interrupted system call Interrupted system call
5 I/O error I/O error
6 No such device or address No such device or address
7 Argument list too long Argument list too long
8 Exec format error Exec format error
9 Bad file number Bad file number
10 No child processes No child processes
11 Try again Resource temporarily unavailable
12 Out of memory Not enough core
13 Permission denied Permission denied
14 Bad address Bad address
15 Block device required Block device required
16 Device or resource busy Mount device busy
17 File exists File exists
18 Cross-device link Cross-device link
Table D-1. Characters to Avoid in Logfiles
Troubleshooting
System Error Codes
EZwave Users and Reference Manual, 2009.2 557
19 No such device No such device
20 Not a directory Not a directory
21 Is a directory Is a directory
22 Invalid argument Invalid argument
23 File table overflow File table overflow
24 Too many open files Too many open files
25 Not a typewriter Inappropriate ioctl for device
26 Text file busy Text file busy
27 File too large File too large
28 No space left on device No space left on device
29 Illegal seek Illegal seek
30 Read-only file system Read-only file system
31 Too many links Too many links
32 Broken pipe Broken pipe
33 Math argument out of domain of
function
Math argument out of domain of
function
34 Math result not representable Math result not representable
35 Resource deadlock would occur No message of desired type
36 File name too long Identifier removed
37 No record locks available Channel number out of range
38 Function not implemented Level 2 not synchronized
39 Directory not empty Level 3 halted
40 Too many symbolic links encountered Level 3 reset
41 Operation would block Link number out of range
42 No message of desired type Protocol driver not attached
43 Identifier removed No CSI structure available
44 Channel number out of range Level 2 halted
45 Level 2 not synchronized Deadlock condition
46 Level 3 halted No record locks available
Table D-2. System Error Codes (cont.)
Error
Code
Linux Solaris
EZwave Users and Reference Manual, 2009.2 558
Troubleshooting
System Error Codes
47 Level 3 reset Operation canceled
48 Link number out of range Operation not supported
49 Protocol driver not attached Disk quota exceeded
50 No CSI structure available Invalid exchange
51 Level 2 halted Invalid request descriptor
52 Invalid exchange Exchange full
53 Invalid request descriptor No anode
54 Exchange full Invalid request code
55 No anode Invalid slot
56 Invalid request code File locking deadlock error
57 Invalid slot Bad font file format
58 NA Process died with the lock
59 Bad font file format Lock is not recoverable
60 Device not a stream Device not a stream
61 No data available No data (for no delay IO)
62 Timer expired Timer expired
63 Out of streams resources Out of streams resources
64 Machine is not on the network Machine is not on the network
65 Package not installed Package not installed
66 Object is remote Object is remote
67 Link has been severed Link has been severed
68 Advertise error Advertise error
69 srmount error srmount error
70 Communication error on send Communication error on send
71 Protocol error Protocol error
72 Multihop attempted Locked lock was unmapped
73 RFS specific error Facility is not active
74 Not a data message Multihop attempted
75 Value too large for defined data type NA
Table D-2. System Error Codes (cont.)
Error
Code
Linux Solaris
Troubleshooting
System Error Codes
EZwave Users and Reference Manual, 2009.2 559
76 Name not unique on network NA
77 File descriptor in bad state Trying to read unreadable message
78 Remote address changed Path name is too long
79 Can not access a needed shared library Value too large to be stored in data
type
80 Accessing a corrupted shared library Given logical name not unique
81 .lib section in a.out corrupted File descriptor invalid for this
operation
82 Attempting to link in too many shared
libraries
Remote address changed
83 Cannot exec a shared library directly Cant access a needed shared library
84 Illegal byte sequence Accessing a corrupted shared library
85 Interrupted system call should be
restarted
.lib section in a.out corrupted
86 Streams pipe error Attempting to link in too many
libraries
87 Too many users Attempting to exec a shared library
88 Socket operation on non-socket Illegal byte sequence
89 Destination address required Unsupported file system operation
90 Message too long Symbolic link loop
91 Protocol wrong type for socket Restartable system call
92 Protocol not available If pipe/FIFO, dont sleep in stream
head
93 Protocol not supported Directory not empty
94 Socket type not supported Too many users (for UFS)
95 Operation not supported on transport
endpoint
Socket operation on non-socket
96 Protocol family not supported Destination address required
97 Address family not supported by
protocol
Message too long
98 Address already in use Protocol wrong type for socket
99 Cannot assign requested address Protocol not available
Table D-2. System Error Codes (cont.)
Error
Code
Linux Solaris
EZwave Users and Reference Manual, 2009.2 560
Troubleshooting
System Error Codes
100 Network is down NA
101 Network is unreachable NA
102 Network dropped connection because
of reset
NA
103 Software caused connection abort NA
104 Connection reset by peer NA
105 No buffer space available NA
106 Transport endpoint is already
connected
NA
107 Transport endpoint is not connected NA
108 Cannot send after transport endpoint
shutdown
NA
109 Too many references: cannot splice NA
110 Connection timed out NA
111 Connection refused NA
112 Host is down NA
113 No route to host NA
114 Operation already in progress NA
115 Operation now in progress NA
116 Stale NFS file handle NA
117 Structure needs cleaning NA
118 Not a XENIX named type file NA
119 No XENIX semaphores available NA
120 Is a named type file Protocol not supported
121 Remote I/O error Socket type not supported
122 Quota exceeded Operation not supported on socket
123 No medium found Protocol family not supported
124 Wrong medium type Address family not supported by
protocol family
125 NA Address already in use
Table D-2. System Error Codes (cont.)
Error
Code
Linux Solaris
Troubleshooting
Contacting the Customer Support Center
EZwave Users and Reference Manual, 2009.2 561
Contacting the Customer Support Center
If you have enhancement requests or technical questions regarding the use of any Mentor
Graphics product, contact us as follows:
126 NA Cant assign requested address
127 NA Network is down
128 NA Network is unreachable
129 NA Network dropped connection because
of reset
130 NA Software caused connection abort
131 NA Connection reset by peer
132 NA No buffer space available
133 NA Socket is already connected
134 NA Socket is not connected
143 NA Cant send after socket shutdown
144 NA Too many references: cant splice
145 NA Connection timed out
146 NA Connection refused
147 NA Host is down
148 NA No route to host
149 NA Operation already in progress
150 NA Operation now in progress
151 NA Stale NFS file handle
Table D-3. Contacting the Customer Support Center
email
support_net@mentor.com
Internet
http://www.mentor.com/supportnet/
http://www.mentor.com/supportnet/register.html
Table D-2. System Error Codes (cont.)
Error
Code
Linux Solaris
EZwave Users and Reference Manual, 2009.2 562
Troubleshooting
Contacting the Customer Support Center
Note
If you are using this product as a component within another Mentor Graphics product (for
example, Questa ADMS), refer to the guidelines for support with that product before
contacting Mentor Graphics.
Before contacting support, gather the following information:
License ID
Platform and version
Product and version (Obtained from the Help > About menu item on the main
application window)
Any test files
Exact steps or procedures causing the problem
The two files that the EZwave viewer and JWDB server generate:
o ezwave_error.log
o jwdb_error.log
These files are normally generated at the location where the tool is run (EZwave or the
simulator) and contain information that may be useful in identifying the root of the
problem, particulary if its difficult to reproduce.
Training Classes
Classes are held regularly at Mentor Graphics. On-site classes are also available. Contact your
local sales office or consult www.mentor.com for rates and a current class schedule.
Your comments
We welcome your feedback on this online information system documentation or any other
Mentor Graphics product or document. We are also interested in your suggestions for any
additional topics you want included in our product documentation. Complete the documentation
response form available at the following Web address with your comments:
http://www.mentor.com/onlinedocs/reply_form.cfm
Telephone
http://www.mentor.com/supportnet/support_offices.html
(Displays a complete phone listing based on your geographic location)
Table D-3. Contacting the Customer Support Center (cont.)
Troubleshooting
Contacting the Customer Support Center
EZwave Users and Reference Manual, 2009.2 563
EZwave Users and Reference Manual, 2009.2 564
Troubleshooting
Contacting the Customer Support Center
EZwave Users and Reference Manual, 2009.2 565
Glossary
active
The condition when an area of the application window is able to accept data. For example,
selecting a graph windowmakes it active to accept waveforms. Aplot operation will then display
the waveform in the active graph window. When the File > New menu item is selected, the new
window created is automatically the active graph window.
active cursor
The cursor shown using a thick line. Clicking on any cursor will automatically make it the active
cursor.
active window
The window where waveforms are plotted when not using drag and drop. This is also the
window used for menu bar and toolbar commands.
admittance parameters (Y-Parameters)
Admittance (y-parameter) is the ease with which an alternating current flows through an
electronic circuit or system. For any given circuit element, the admittance is the reciprocal of the
impedance (1/Z).
analog waveform
An analog waveformmay contain such domains as frequency, voltage and current. Each point on
the domain axis corresponds to a value on the waveform.
area zoom
The action when zooming in both the X and Y directions. Drag the mouse over the waveform
itself to define the rectangular region to zoom to.
Glossary
566 EZwave Users and Reference Manual, 2009.2
background
Within the viewer, refers to the base color to be used when printing.
base Y-level line
If more than one Y-level line is associated with a cursor, a "base" Y-level line is assigned. All
other Y-level lines have a delta-Y value calculated from this base line. By default, the waveform
whose name appears at the top of the list in the graph windowis the base line. To change the base
line to another Y-level line, right-click the marker of the desired base line and select "Base" Y-
Level Line.
baseline
Baseline is the magnitude reference line at the base magnitude, which is the magnitude of the
portion of a pulse waveform that represents the first nominal state of a pulse (usually referred as
LOW level).
clipboard
The application's internal storage area. This differs from your computer clipboard area.
clipboard (EZwave)
The internal utility that enables you to move objects (such as waveforms, text and other objects)
fromone location to another within the viewer using familiar cut, copy and paste operations. The
utility stores the information temporarily for exchange between graph windows, workspaces and
other areas of the viewer.
Clipboard (Windows)
The Windows Clipboard utility enables you to exchange contents within an application or
between shared applications using familiar cut, copy and paste operations. The utility stores the
information temporarily for exchange between shared and local applications.
complex waveform
A complex waveformcan be defined as any sound wave which is not sinusoidal. By the theorem
of Fourier, any complex periodic waveform can be decomposed into a series of simple sinusoids
that differ in the three defining attributes of amplitude, frequency, and phase.
compound waveform
A compound waveform can be defined as a waveform that contains the results of several
simulations for the same node. This product can perform operations on either the compound
waveform or the individual elements that make up the compound waveform.
cursor
A special on-screen indicator, such as a vertical line, drawn in the waveform display area to
identify locations or create a point for measurement. The first cursor created is known as the base
(reference) cursor.
dB
A transformation setting for complex waveforms that shows the magnitude of each point
of the complex waveform calculated in decibels (20 * log (|waveform|))
Glossary
EZwave Users and Reference Manual, 2009.2 567
enumerated type
In VHDL, an enumeration type declaration defines a type that has a set of user-defined values
consisting of identifiers and character literals. If a waveform is displayed in an enumerated
format, text values are displayed in a box rather than graphical high/low waveform.
export
Within the viewer, the ability to save the active graph window as a .jpg file.
femtosecond (fs)
1/1000 picosecond.
fit row heights
Within the viewer, the ability to change the row heights in the active graph window in order to
see as many rows as possible.
general options
General options control basic functions of the viewer such as background coloring, printing
options and display lists of databases.
graph window
Graph windows display waveform data. Waveform data can be spectral data, comma separated
value data, or analog and digital data.
hide
The action that temporarily removes a waveform from visual display yet keeps the data within
the row. The Hide Waveform menu item toggles the display of waveforms in rows carrying
overlaid plots.
imaginary
A transformation setting used with complex waveforms. Imaginary transformations display the
imaginary component of each point in the complex waveform expressed in Rectangular
(Real/Imaginary) form.
impedance parameters (Z-Parameters)
Impedance (z-parameter) is the opposition that an alternate or direct current encounters when it
reaches an electronic component, circuit, or system. Transmission lines have a property known
as "characteristic impedance (ZO), which is the square root of the inductance/meter divided by
the square root of the capacitance per meter of the line.
The Smith Chart is presented in terms of normalized impedance, where the actual impedance
is divided by the ZO of the particular line being used. In this way, you can use a single Smith
Chart calibration for all possible line characteristic impedances.
input unit
The unit of measure for a waveform. The unit of the result waveform is same as the unit of the
input waveform. By default, the unit of measure is set globally, however, setting the unit locally
will override the default setting.
Glossary
568 EZwave Users and Reference Manual, 2009.2
jpg
JPEG stands for Joint Photographic Experts Group, which is the name of the committee that
created a way to compress the file size of photographic, true-color images without diminishing
the quality of the image. JPEG is generally used for photographic images. These image files use
the .JPEG and .JPG extensions.
JPEG (jpg)
JPEG stands for Joint Photographic Experts Group which is the name of the committee that
created a way to compress the file size of photographic, true-color images without diminishing
the quality of the image. JPEG is generally used for photographic images and these image files
use the .JPEG and .JPG extensions.
JWDB
JWDB stands for Joint Waveform DataBase. This is the default database format for Mentor
Graphics simulation applications.
keyboard accelerators
Keyboard accelerators allow you to select menu items in the Graphical user interface (GUI)
without using a mouse. If a keyboard accelerator is available for a menu item, it appears next to
the item on the menu. For example, CTRL + C is the keyboard accelerator for the Copy menu
item and CTRL + V is the keyboard accelerator for the Paste menu item. Keyboard accelerators
are different from keyboard shortcuts. Sometimes, keyboard accelerators are referred to as Hot
keys.
keyboard access keys
Keyboard shortcuts allow you to select menu items in the Graphical user interface (GUI) without
using a mouse. The letter for the keyboard shortcut is underlined within each menu and item. For
example, capital F is the keyboard shortcut for the file menu. Typing ALT + F on your keyboard
will display the file menu for item selection.
layout
The way the application displays X axis settings and waveform name displays. Within the
EZwave Display Preferences dialog box, click Layout to access these settings.
magnitude
The transformation applied to a complex waveform that shows the square root of (re2 + im2) for
each point in the complex waveform.
mouse strokes
Mouse Strokes provide you with a convenient way to perform common tasks by allowing you to
draw shapes using the mouse. For example, drawing the letter "D" deletes the current set of
selected objects. Mouse strokes are usually performed with a three button mouse using the
middle mouse button to make the stroke.
new rows
Within the active graph window, new rows are created when a waveform is dragged to any
location above, below or between existing rows.
Glossary
EZwave Users and Reference Manual, 2009.2 569
over-axis zooming
The action that uses the mouse pointer to drag over the X or Y axis to identify the region for
zoom.
overlaid plots
The ability to plot multiple waveforms on top of each other in the same row within the Graph
window. You may plot analog and digital waveforms overlaid. Existing digital waveforms rows
cannot accept overlaid plots.
png
PNG stands for Portable Network Graphics. It is an open, extensible image format with lossless
compression. These image files use the .PNG extension
phase
The phase of each point in the complex waveform in Polar form. All phase angles are restricted
between -180 and +180 degrees (-pi radians and +pi radians).
property
A property is a name/value pair, where the value can be a number or a string. Waveform
Databases and individual waveforms may have property lists containing the individual
properties. An example of a waveform database property is timestep:1.
question mark
The question mark is a mouse stroke action that opens the About Mouse Strokes Information
Box listing the supported mouse strokes for this application.
radix
Aquantity whose successive integral powers are the implicit multipliers of the sequence of digits
that represent a number of some positional-notation systems. Radix levels used with buses are
Octal, Hexadecimal, Binary, Decimal, and Ascii.
right-click
The right mouse key serves an important purpose with this application. A number of context
sensitive popup menus appear by pressing the right mouse key. In this help system, references to
"right-click" indicate this activity.
real
A transformation setting for complex waveforms. The real component of each point in the
complex waveform expressed in Rectangular (Real/Imaginary) form.
refresh
The refresh process forces a complete repaint of all windows within an application.
scale table
A scale table contains a matrix of the unit of measurement used by the waveform. For example,
this may be in time units, frequency units, voltage, or other measurement. This table contains the
unit name and the suffix of the scale unit to be used as the base. It also contains a list of the units
and their scaling relationship to each other.
Glossary
570 EZwave Users and Reference Manual, 2009.2
step waveform
A waveform that, from a viewing perspective, approximates a Heaviside (unit step) function.
taskbar
The area directly above the status bar in the application window that contains Graph Window
buttons for selecting the active graph window.
tooltip
A tooltip is a small window that contains descriptive text for the item under the mouse pointer.
topline
Topline is the magnitude reference line at the top magnitude, which is the magnitude of the
portion of a pulse waveform that represents the second nominal state of a pulse (usually referred
as HIGH level).
true
Having a Boolean value of one (1).
undo zoom
Reverses the previous zoomaction. Undo zoomwill return the display to the previously selected
magnification.
Verilog
Notational conventions used by the Waveform Calculator based on the Verilog hardware
description language.
VHDL
Notational conventions used by the Waveform Calculator based on VHDL (VHSIC Hardware
Description Language).
view all
The action where the magnification is reset to view all of the data in a window or a row within
the active graph window.
waveform
A waveform is a collection of values along a time continuum, frequency, or other domain axis.
The axis is referred to as the domain, and the values positioned along the axis are the range. This
is really a logical view, as some waveform events, i.e. those that are part of a functional
waveform, may be generated by a function (e.g. sin(t)).
waveform database
A waveform database contains, data of one or more individual waveforms.
workspace
The tabbed area within the application window that holds graph windows.
X Axis
Commonly the horizontal axis used to display time or distance. In this product, the X Axis is
used for over-axis zoom.
Glossary
EZwave Users and Reference Manual, 2009.2 571
Y Axis
Used with analog waveforms and complex waveforms. With complex waveforms the Y axis
displays transformations of dB, Magnitude, Real, Imaginary, Phase and Continuous Phase. With
multiple analog waveform plots (overlaid plots), you may select to have each waveform
displayed on its own Y axis within the row.
Y-level line
A Y-level line is a horizontal line that marks the intersection of a cursor and a waveform. Right-
click on a cursor and select Y-Level Line from the popup menu to show the Y-level line. See
also base Y-level line.
zooming
The action that enlarges the visual display in the active graph window. For example, zooming
between cursors adjusts the display to view between the two cursors that are farthest apart in the
active graph window. See also area zoom and over-axis zooming.
Glossary
572 EZwave Users and Reference Manual, 2009.2
573
A B F G D C E H I J K L M N O P Q R S T U V X W Y Z
EZwave Users and Reference Manual, 2009.2
A
Access keys, 206
Active window, 52
Add Clock dialog box, 283
Add More Waveforms dialog box, 284
add wave command, 455
add wave Tcl command, 455
add workspace Tcl command, 461
Aligning Y axes, 74
Analog waveform comparison, 306
Application
application window, 205
graphic window, 218
menu bar, 206
overview
application window, 205
status bar, 221
toolbar, 213
waveform list panel, 216
waveform list popup menu, 274
workspace and workspace tabs, 220
ASCII files, 50, 234
Auto Correlation, 284
Auto Correlation and Power Spectral Density
dialog box, 289
Auto Correlation dialog box, 287
Axis properties dialog box, 293
Axis Title (Fonts and Colors) dialog box, 294
Axis Values (Fonts and Colors) dialog box, 295
Axis Values (Smith Chart) dialog box, 296
B
batch_mode command, 462
batch_mode Tcl command, 462
C
Calculator Entry dialog box, 297
Chip Transform dialog box, 298
Circle Visibility dialog box, 301
Clocks dialog box, 301
compare add Tcl command, 463
compare clock Tcl command, 467
compare configureTcl command, 468
compare end Tcl command, 471
compare info Tcl command, 472
compare options Tcl command, 473
compare runTcl command, 477
compare savelog command, 478
compare savelog Tcl command, 478
compare start Tcl command, 479
Comparing waveforms, 92
Comparison Method (Waveform Compare
Wizard) dialog box, 307
Comparison Options dialog box, 302
Comparison rules, 107
Compute Differences Now (Waveform
Compare Wizard) dialog box, 308
Constellation Diagram dialog box, 309
Convolution dialog box, 311
Correlogram Method, 285, 289
COU files, 49, 234
Create bus, 117
Create Bus dialog box, 313
Cross Correlation dialog box, 315
CSV files, 49, 234
Cursor/Marker dialog box, 316
Cursors
cursor menu, 262
dialog box, 318
menu, 262
popup, 273
popup menu, 273
selecting a base cursor, 68
D
Data Format dialog box, 320
Data Values dialog box, 321
dataset alias Tcl command
Tcl commands
dataset alias, 480
Index
574 EZwave Users and Reference Manual, 2009.2
A B F G D C E H I J K L M N O P Q R S T U V X W Y Z
dataset clear Tcl command
Tcl commands
dataset clear, 481
dataset close Tcl command
Tcl commands
dataset close, 482
dataset info Tcl command, 483
dataset list Tcl command, 484
dataset merge Tcl command
Tcl commands
dataset merge, 485
dataset open Tcl command
Tcl commands
dataset open, 486
dataset rename Tcl command, 487
dataset save Tcl command, 488
dataset savewaveforms Tcl command, 489
delete wave Tcl command, 490
Dialog boxes
Add Clock, 283
Add More Waveforms, 284
Auto Correlation, 284, 287
Auto Correlation and Power Spectral
Density, 289
Axis properties, 293
Axis Title (Fonts and Colors), 294
Axis Values (Fonts and Colors), 295
Axis Values (Smith Chart), 296
Calculator Entry, 297
Chip Transform, 298
Circle Visibility, 301
Clocks, 301
Comparison Method (Waveform Compare
Wizard), 307
Comparison Options, 302
Compute Differences Now (Waveform
Compare Wizard), 308
Constellation Diagram, 309
Convolution, 311
Create Bus, 313
Cross Correlation, 315
Cursor/Marker, 316
Cursors, 318
Data Format, 320
Data Values, 321
Edit Compatible Units, 323
Export Image, 327
FFT, 332
histogram, 347
IFFT, 348
saving multiple databases, 201
Set Referenc Y Axis, 368
Waveform Compare Wizard
Comparison Method, 307
Compute Differences Now, 308
waveform properites - transformation, 390
waveform properties - appearance, 387
X and Y axis - properties, 293
X and Y axis min/max settings, 400
DO files, 50, 234
dofile Tcl command, 491
E
Edit Compatible Units dialog box, 323
environment Tcl command, 492
evalExpression Tcl command, 493
Event search, 76
exit Tcl command, 494
Export Image dialog box, 327
EZwave
installation, 45, 46
invocation, 47
from other host applications, 48
process overview, 33
F
FFT, 184
File types, 49, 234
Find Tool, 339
Fonts and Colors
Axis Title, 294
Axis Values, 295
FSDB files, 50, 234
Fully qualified names, 453
G
getactivecursortime Tcl command, 495
Getting started
application window, 205
Graph window
application window, 205
575 EZwave Users and Reference Manual, 2009.2
A B F G D C E H I J K L M N O P Q R S T U V X W Y Z
graph window menus, 269
graph window popup menu, 270
graphic window, 218
interface description, 25
row popup menu, 270
selecting graph windows, 52
status bar, 220
waveform shortcut menus, 279
X and Y axis shortcut menus, 272
Graphical user interface (GUI)
application window, 205
H
Help menu, 213
tutorials, 403
HSPICE files, 49, 234
HSPICE/HyperLynx output file, 50, 234
I
ICX Charter waveform files, 49, 234
IFFT, 187
Installation
EZwave, 45, 46
Invocation, 47
K
Keyboard shortcuts
menus, 206
L
Logfile, 555
M
Manually comparing waveforms, 99
Marching waveforms, 340
Measurement tool, 261
Memory, 553
Menus
application window, 205
cursor menu, 211
edit menu, 207
file menu, 207
format menu, 209
help menu, 213
menu bar, 206
tools menu, 210
view menu, 208
window menu, 212
MGC database files, 49, 234
Mixed signal
plotting, 57
Mixed waveform comparison, 307
Mouse strokes, 227
P
Periodogram Method, 285, 290
Plotting mixed signals, 57
Plotting rules, 57
Popup menus
database, 274
delete command, 280
graph window menus, 269
graph window popup menu, 270
row shortcut menu, 270
waveform list popup menus, 274
waveform list waveform, 274
waveform popup menus, 279
workspace, 220
X and Y axis menu, 272
printenv Tcl command, 496
Procedures
Add waveforms to the Graph window, 54
copy waveforms, 64
create a Bus, 117
create and manage graph windows, 51
display compound waveforms, 59
hide waveform, 64
moving waveforms, 64
open a database, 48
perform a FFT, 184
plot a waveform using drag-and-drop, 56
plot analog and digital waveforms, 57
save and restoring graph windows, 195
saving multiple databases, 201
select graph windows, 52
transform analog waveform to digital, 119
use the cursor value table, 91
use the measurement tool with compound
waveforms, 123
use the parameter table, 62
zoom an area, 73
zoom over an axis, 74
Process overview, 33
576 EZwave Users and Reference Manual, 2009.2
A B F G D C E H I J K L M N O P Q R S T U V X W Y Z
Q
quit Tcl command, 497
R
radix Tcl command, 498
Repaint, 227
S
Saving and restoring sessions, 195
Saving graph windows, 195
Saving post processed waveform, 200
Saving waveform databases, 201
Selecting graph windows, 52
setenv Tcl command, 499
SPICE files, 50, 234
Status bar, 221
T
Taskbar, 220
Tcl commands, 448
add batch_mode, 462
add wave, 455
add workspace, 461
compare add, 463
compare clock, 467
compare configure, 468
compare end, 471
compare info, 472
compare options, 473
compare run, 477
compare savelog, 478
compare start, 479
dataset info, 483
dataset list, 484
dataset rename, 487
dataset save, 488
dataset savewaveforms, 489
delete wave, 490
dofile, 491
environment, 492
evalExpression, 493
exit, 494
printenv, 496
quit, 497
radix, 498
setenv, 499
unsetenv, 500
wave activecursor, 501
wave activeworkspace, 502
wave addcursor, 505
wave addline, 506
wave addmarker, 507
wave addproperty, 508
wave addwindow, 509
wave addworkspace, 510
wave closewindow, 511
wave cursortime, 512
wave deletecursor, 513
wave displayed, 514
wave import, 515
wave lockcursor, 516
wave refresh, 517
wave show, 518
wave tile, 519
wave windowlist, 520
wave xaxis, 521
wave zoomfull, 522
wave zoomin, 523
wave zoomlast, 524
wave zoomout, 525
wave zoomrange, 526
wfc, 527
write jpeg, 528
write png, 529
write wave, 530
Tcl commands getactivecursortime, 495
Tcl commands wave addannotation, 503
Tcl files, 50, 234
Toolbar, 213
application window, 205
Tools
creating a bus, 117
event search tool, 76
measurement tool, 261
Transformation - analog to digital, 119
Tutorials, 403
U
unsetenv Tcl command, 500
V
VCD files, 49, 234
577 EZwave Users and Reference Manual, 2009.2
A B F G D C E H I J K L M N O P Q R S T U V X W Y Z
W
wave activecursor Tcl command, 501
wave activeworkspace Tcl command, 502
wave addannotation Tcl command, 503
wave addcursor Tcl command, 505
wave addline Tcl command, 506
wave addmarker Tcl command, 507
wave addproperty Tcl command, 508
wave addwindow command, 509
wave addwindow Tcl command, 509
wave addworkspace Tcl command, 510
wave closewindow Tcl command, 511
wave cursortime Tcl command, 512
wave deletecursor Tcl command, 513
wave displayed Tcl command, 514
wave import Tcl command, 515
wave lockcursor Tcl command, 516
wave refresh Tcl command, 517
wave show Tcl command, 518
wave tile Tcl command, 519
wave windowlist Tcl command, 520
wave xaxis Tcl command, 521
wave zoomfull Tcl command, 522
wave zoomin Tcl command, 523
wave zoomlast Tcl command, 524
wave zoomout Tcl command, 525
wave zoomrange Tcl command, 526
Waveform calculator, 29
ASCII
saving a post processed waveform, 200
saving a WDB as an ASCII file, 203
built-in functions, 200
histogram, 347
performing a FFT, 184
performing an IFFT, 187
saving post processed waveform, 200
using the waveform calculator, 157
Waveform Compare Wizard, 92
Waveform comparison
analog, 306
mixed, 307
viewing results, 103
Waveform list panel, 216
application window, 205
waveform list popup menus, 274
Waveform measurement tool, 28
Waveform plotting rules, 57
Waveforms
adding a single waveform, 54
adding waveforms to the graph window, 54
analog waveform comparison algorithm,
114
comparing, 92
manually, 99
using the wizard, 92
comparison
AMS options, 111
comparison rules, 107
options, 107
reports, 105
viewing results, 103
Waveform Compare Wizard, 92
waveform list panel, 216
wfc Tcl command, 527
Window
application window, 205
graphic window, 218
Window menu, 212
Workspace
application window, 205
taskbar, 220
workspace and workspace tabs, 220
write jpeg Tcl command, 528
write png Tcl command, 529
write wave Tcl command, 530
Y
Y axis
setting as reference, 74
578 EZwave Users and Reference Manual, 2009.2
A B F G D C E H I J K L M N O P Q R S T U V X W Y Z
Third-Party Information
This section provides information on open source and third-party software that may be included in the EZwave product.
This software application may include Boost C++ Libraries version 1.33 third-party software. Boost C++ Libraries
version 1.33 is distributed under the terms of the Boost Software License version 1.0 and is distributed on an "AS IS"
basis, WITHOUT WARRANTY OF ANY KIND, either express or implied. See the license for the specific language
gover ni ng r i ght s and l i mi t at i ons under t he l i cens e. You can vi ew a copy of t he l i cens e at :
$MGC_AMS_HOME/docs/legal/boost_1.0.pdf. Boost C++ Libraries version 1.33 may be subject to the following
copyrights:
2003 Gunter Winkler, Joerg Walter
2002-2003 Toon Knapen, Kresimir Fresl, Joerg Walter
2000 Cadenza New Zealand Ltd
2000-2004 Joerg Walter, Mathias Koch and uBLAS developers
2001-2003 William E. Kempf
2002-2003 David Moore, William E. Kempf
1994 Hewlett-Packard Company
1996-1998 Silicon Graphics Computer Systems, Inc.
2000-2002 Joerg Walter, Mathias Koch
Permission to use, copy, modify, distribute and sell this software and its documentation for any purpose is hereby granted
without fee, provided that the above copyright notice appear in all copies and that both that copyright notice and this
permission notice appear in supporting documentation. The authors make no representations about the suitability of this
software for any purpose. It is provided "as is" without express or implied warranty.
End-User License Agreement
The latest version of the End-User License Agreement is available on-line at:
www.mentor.com/terms_conditions/enduser
END-USER LICENSE AGREEMENT (Agreement)
This is a legal agreement concerning the use of Software (as defined in Section 2) between the company acquiring
the license (Customer), and the Mentor Graphics entity that issued the corresponding quotation or, if no
quotation was issued, the applicable local Mentor Graphics entity (Mentor Graphics). Except for license
agreements related to the subject matter of this license agreement which are physically signed by Customer and an
authorized representative of Mentor Graphics, this Agreement and the applicable quotation contain the parties'
entire understanding relating to the subject matter and supersede all prior or contemporaneous agreements. If
Customer does not agree to these terms and conditions, promptly return or, if received electronically, certify
destruction of Software and all accompanying items within five days after receipt of Software and receive a full
refund of any license fee paid.
1. ORDERS, FEES AND PAYMENT.
1.1. To the extent Customer (or if and as agreed by Mentor Graphics, Customers appointed third party buying agent) places and
Mentor Graphics accepts purchase orders pursuant to this Agreement (Order(s)), each Order will constitute a contract
between Customer and Mentor Graphics, which shall be governed solely and exclusively by the terms and conditions of this
Agreement, any applicable addenda and the applicable quotation, whether or not these documents are referenced on the
Order. Any additional or conflicting terms and conditions appearing on an Order will not be effective unless agreed in
writing by an authorized representative of Customer and Mentor Graphics.
1.2. Amounts invoiced will be paid, in the currency specified on the applicable invoice, within 30 days from the date of such
invoice. Any past due invoices will be subject to the imposition of interest charges in the amount of one and one-half
percent per month or the applicable legal rate currently in effect, whichever is lower. Prices do not include freight,
insurance, customs duties, taxes or other similar charges, which Mentor Graphics will invoice separately. Unless provided
with a certificate of exemption, Mentor Graphics will invoice Customer for all applicable taxes. Customer will make all
payments free and clear of, and without reduction for, any withholding or other taxes; any such taxes imposed on payments
by Customer hereunder will be Customers sole responsibility. Notwithstanding anything to the contrary, if Customer
appoints a third party to place purchase orders and/or make payments on Customers behalf, Customer shall be liable for
payment under such orders in the event of default by the third party.
1.3. All products are delivered FCA factory (Incoterms 2000) except Software delivered electronically, which shall be deemed
delivered when made available to Customer for download. Mentor Graphics retains a security interest in all products
delivered under this Agreement, to secure payment of the purchase price of such products, and Customer agrees to sign any
documents that Mentor Graphics determines to be necessary or convenient for use in filing or perfecting such security
interest. Mentor Graphics delivery of Software by electronic means is subject to Customers provision of both a primary
and an alternate e-mail address.
2. GRANT OF LICENSE. The software installed, downloaded, or otherwise acquired by Customer under this Agreement,
including any updates, modifications, revisions, copies, documentation and design data (Software) are copyrighted, trade
secret and confidential information of Mentor Graphics or its licensors, who maintain exclusive title to all Software and retain
all rights not expressly granted by this Agreement. Mentor Graphics grants to Customer, subject to payment of applicable
license fees, a nontransferable, nonexclusive license to use Software solely: (a) in machine-readable, object-code form; (b) for
Customers internal business purposes; (c) for the term; and (d) on the computer hardware and at the site authorized by Mentor
Graphics. A site is restricted to a one-half mile (800 meter) radius. Customer may have Software temporarily used by an
employee for telecommuting purposes from locations other than a Customer office, such as the employee's residence, an airport
or hotel, provided that such employee's primary place of employment is the site where the Software is authorized for use.
Mentor Graphics standard policies and programs, which vary depending on Software, license fees paid or services purchased,
apply to the following: (a) relocation of Software; (b) use of Software, which may be limited, for example, to execution of a
single session by a single user on the authorized hardware or for a restricted period of time (such limitations may be technically
implemented through the use of authorization codes or similar devices); and (c) support services provided, including eligibility
to receive telephone support, updates, modifications, and revisions. For the avoidance of doubt, if Customer requests any change
or enhancement to Software, whether in the course of receiving support or consulting services, evaluating Software or
IMPORTANT INFORMATION
USE OF THIS SOFTWARE IS SUBJECT TO LICENSE RESTRICTIONS. CAREFULLY READ THIS
LICENSE AGREEMENT BEFORE USING THE SOFTWARE. USE OF SOFTWARE INDICATES YOUR
COMPLETE AND UNCONDITIONAL ACCEPTANCE OF THE TERMS AND CONDITIONS SET FORTH
IN THIS AGREEMENT. ANY ADDITIONAL OR DIFFERENT PURCHASE ORDER TERMS AND
CONDITIONS SHALL NOT APPLY.
otherwise, any inventions, product improvements, modifications or developments made by Mentor Graphics (at Mentor
Graphics sole discretion) will be the exclusive property of Mentor Graphics.
3. ESC SOFTWARE. If Customer purchases a license to use development or prototyping tools of Mentor Graphics Embedded
Software Channel (ESC), Mentor Graphics grants to Customer a nontransferable, nonexclusive license to reproduce and
distribute executable files created using ESC compilers, including the ESC run-time libraries distributed with ESC C and C++
compiler Software that are linked into a composite program as an integral part of Customers compiled computer program,
provided that Customer distributes these files only in conjunction with Customers compiled computer program. Mentor
Graphics does NOT grant Customer any right to duplicate, incorporate or embed copies of Mentor Graphics real-time operating
systems or other embedded software products into Customers products or applications without first signing or otherwise
agreeing to a separate agreement with Mentor Graphics for such purpose.
4. BETA CODE.
4.1. Portions or all of certain Software may contain code for experimental testing and evaluation (Beta Code), which may not
be used without Mentor Graphics explicit authorization. Upon Mentor Graphics authorization, Mentor Graphics grants to
Customer a temporary, nontransferable, nonexclusive license for experimental use to test and evaluate the Beta Code
without charge for a limited period of time specified by Mentor Graphics. This grant and Customers use of the Beta Code
shall not be construed as marketing or offering to sell a license to the Beta Code, which Mentor Graphics may choose not to
release commercially in any form.
4.2. If Mentor Graphics authorizes Customer to use the Beta Code, Customer agrees to evaluate and test the Beta Code under
normal conditions as directed by Mentor Graphics. Customer will contact Mentor Graphics periodically during Customers
use of the Beta Code to discuss any malfunctions or suggested improvements. Upon completion of Customers evaluation
and testing, Customer will send to Mentor Graphics a written evaluation of the Beta Code, including its strengths,
weaknesses and recommended improvements.
4.3. Customer agrees that any written evaluations and all inventions, product improvements, modifications or developments that
Mentor Graphics conceived or made during or subsequent to this Agreement, including those based partly or wholly on
Customers feedback, will be the exclusive property of Mentor Graphics. Mentor Graphics will have exclusive rights, title
and interest in all such property. The provisions of this Subsection 4.3 shall survive termination of this Agreement.
5. RESTRICTIONS ON USE.
5.1. Customer may copy Software only as reasonably necessary to support the authorized use. Each copy must include all
notices and legends embedded in Software and affixed to its medium and container as received from Mentor Graphics. All
copies shall remain the property of Mentor Graphics or its licensors. Customer shall maintain a record of the number and
primary location of all copies of Software, including copies merged with other software, and shall make those records
available to Mentor Graphics upon request. Customer shall not make Software available in any form to any person other
than Customers employees and on-site contractors, excluding Mentor Graphics competitors, whose job performance
requires access and who are under obligations of confidentiality. Customer shall take appropriate action to protect the
confidentiality of Software and ensure that any person permitted access does not disclose or use it except as permitted by
this Agreement. Log files, data files, rule files and script files generated by or for the Software (collectively Files)
constitute and/or include confidential information of Mentor Graphics. Customer may share Files with third parties
excluding Mentor Graphics competitors provided that the confidentiality of such Files is protected by written agreement at
least as well as Customer protects other information of a similar nature or importance, but in any case with at least
reasonable care. Standard Verification Rule Format (SVRF) and Tcl Verification Format (TVF) mean Mentor
Graphics proprietary syntaxes for expressing process rules. Customer may use Files containing SVRF or TVF only with
Mentor Graphics products. Under no circumstances shall Customer use Software or allow its use for the purpose of
developing, enhancing or marketing any product that is in any way competitive with Software, or disclose to any third party
the results of, or information pertaining to, any benchmark. Except as otherwise permitted for purposes of interoperability
as specified by applicable and mandatory local law, Customer shall not reverse-assemble, reverse-compile, reverse-
engineer or in any way derive from Software any source code.
5.2. Customer may not sublicense, assign or otherwise transfer Software, this Agreement or the rights under it, whether by
operation of law or otherwise (attempted transfer), without Mentor Graphics prior written consent and payment of
Mentor Graphics then-current applicable transfer charges. Any attempted transfer without Mentor Graphics prior written
consent shall be a material breach of this Agreement and may, at Mentor Graphics option, result in the immediate
termination of the Agreement and licenses granted under this Agreement. The terms of this Agreement, including without
limitation the licensing and assignment provisions, shall be binding upon Customers permitted successors in interest and
assigns.
5.3. The provisions of this Section 5 shall survive the termination of this Agreement.
6. SUPPORT SERVICES. To the extent Customer purchases support services for Software, Mentor Graphics will provide
Customer with available updates and technical support for the Software which are made generally available by Mentor Graphics
as part of such services in accordance with Mentor Graphics then current End-User Software Support Terms located at
http://supportnet.mentor.com/about/legal/.
7. LIMITED WARRANTY.
7.1. Mentor Graphics warrants that during the warranty period its standard, generally supported Software, when properly
installed, will substantially conform to the functional specifications set forth in the applicable user manual. Mentor
Graphics does not warrant that Software will meet Customers requirements or that operation of Software will be
uninterrupted or error free. The warranty period is 90 days starting on the 15th day after delivery or upon installation,
whichever first occurs. Customer must notify Mentor Graphics in writing of any nonconformity within the warranty period.
For the avoidance of doubt, this warranty applies only to the initial shipment of Software under the applicable Order and
does not renew or reset, by way of example, with the delivery of (a) Software updates or (b) authorization codes or alternate
Software under a transaction involving Software re-mix. This warranty shall not be valid if Software has been subject to
misuse, unauthorized modification or improper installation. MENTOR GRAPHICS ENTIRE LIABILITY AND
CUSTOMERS EXCLUSIVE REMEDY SHALL BE, AT MENTOR GRAPHICS OPTION, EITHER (A) REFUND OF
THE PRICE PAID UPON RETURN OF SOFTWARE TO MENTOR GRAPHICS OR (B) MODIFICATION OR
REPLACEMENT OF SOFTWARE THAT DOES NOT MEET THIS LIMITED WARRANTY, PROVIDED
CUSTOMER HAS OTHERWISE COMPLIED WITH THIS AGREEMENT. MENTOR GRAPHICS MAKES NO
WARRANTIES WITH RESPECT TO: (A) SERVICES; (B) SOFTWARE WHICH IS LICENSED AT NO COST; OR (C)
BETA CODE; ALL OF WHICH ARE PROVIDED AS IS.
7.2. THE WARRANTIES SET FORTH IN THIS SECTION 7 ARE EXCLUSIVE. NEITHER MENTOR GRAPHICS NOR
ITS LICENSORS MAKE ANY OTHER WARRANTIES EXPRESS, IMPLIED OR STATUTORY, WITH RESPECT TO
SOFTWARE OR OTHER MATERIAL PROVIDED UNDER THIS AGREEMENT. MENTOR GRAPHICS AND ITS
LICENSORS SPECIFICALLY DISCLAIM ALL IMPLIED WARRANTIES OF MERCHANTABILITY, FITNESS FOR
A PARTICULAR PURPOSE AND NON-INFRINGEMENT OF INTELLECTUAL PROPERTY.
8. LIMITATION OF LIABILITY. EXCEPT WHERE THIS EXCLUSION OR RESTRICTION OF LIABILITY WOULD BE
VOID OR INEFFECTIVE UNDER APPLICABLE LAW, IN NO EVENT SHALL MENTOR GRAPHICS OR ITS
LICENSORS BE LIABLE FOR INDIRECT, SPECIAL, INCIDENTAL, OR CONSEQUENTIAL DAMAGES (INCLUDING
LOST PROFITS OR SAVINGS) WHETHER BASED ON CONTRACT, TORT OR ANY OTHER LEGAL THEORY, EVEN
IF MENTOR GRAPHICS OR ITS LICENSORS HAVE BEEN ADVISED OF THE POSSIBILITY OF SUCH DAMAGES. IN
NO EVENT SHALL MENTOR GRAPHICS OR ITS LICENSORS LIABILITY UNDER THIS AGREEMENT EXCEED
THE AMOUNT PAID BY CUSTOMER FOR THE SOFTWARE OR SERVICE GIVING RISE TO THE CLAIM. IN THE
CASE WHERE NO AMOUNT WAS PAID, MENTOR GRAPHICS AND ITS LICENSORS SHALL HAVE NO LIABILITY
FOR ANY DAMAGES WHATSOEVER. THE PROVISIONS OF THIS SECTION 8 SHALL SURVIVE THE
TERMINATION OF THIS AGREEMENT.
9. LIFE ENDANGERING APPLICATIONS. NEITHER MENTOR GRAPHICS NOR ITS LICENSORS SHALL BE LIABLE
FOR ANY DAMAGES RESULTING FROM OR IN CONNECTION WITH THE USE OF SOFTWARE IN ANY
APPLICATION WHERE THE FAILURE OR INACCURACY OF THE SOFTWARE MIGHT RESULT IN DEATH OR
PERSONAL INJURY. THE PROVISIONS OF THIS SECTION 9 SHALL SURVIVE THE TERMINATION OF THIS
AGREEMENT.
10. INDEMNIFICATION. CUSTOMER AGREES TO INDEMNIFY AND HOLD HARMLESS MENTOR GRAPHICS AND
ITS LICENSORS FROM ANY CLAIMS, LOSS, COST, DAMAGE, EXPENSE OR LIABILITY, INCLUDING
ATTORNEYS FEES, ARISING OUT OF OR IN CONNECTION WITH CUSTOMERS USE OF SOFTWARE AS
DESCRIBED IN SECTION 9. THE PROVISIONS OF THIS SECTION 10 SHALL SURVIVE THE TERMINATION OF
THIS AGREEMENT.
11. INFRINGEMENT.
11.1. Mentor Graphics will defend or settle, at its option and expense, any action brought against Customer in the United States,
Canada, Japan, or member state of the European Union which alleges that any standard, generally supported Software
product infringes a patent or copyright or misappropriates a trade secret in such jurisdiction. Mentor Graphics will pay any
costs and damages finally awarded against Customer that are attributable to the action. Customer understands and agrees
that as conditions to Mentor Graphics obligations under this section Customer must: (a) notify Mentor Graphics promptly
in writing of the action; (b) provide Mentor Graphics all reasonable information and assistance to settle or defend the
action; and (c) grant Mentor Graphics sole authority and control of the defense or settlement of the action.
11.2. If a claimis made under Subsection 11.1 Mentor Graphics may, at its option and expense, (a) replace or modify Software so
that it becomes noninfringing, or (b) procure for Customer the right to continue using Software, or (c) require the return of
Software and refund to Customer any license fee paid, less a reasonable allowance for use.
11.3. Mentor Graphics has no liability to Customer if the claim is based upon: (a) the combination of Software with any product
not furnished by Mentor Graphics; (b) the modification of Software other than by Mentor Graphics; (c) the use of other than
a current unaltered release of Software; (d) the use of Software as part of an infringing process; (e) a product that Customer
makes, uses, or sells; (f) any Beta Code; (g) any Software provided by Mentor Graphics licensors who do not provide such
indemnification to Mentor Graphics customers; or (h) infringement by Customer that is deemed willful. In the case of (h),
Customer shall reimburse Mentor Graphics for its reasonable attorney fees and other costs related to the action.
11.4. THIS SECTION IS SUBJECT TO SECTION 8 ABOVE AND STATES THE ENTIRE LIABILITY OF MENTOR
GRAPHICS AND ITS LICENSORS AND CUSTOMERS SOLE AND EXCLUSIVE REMEDY WITH RESPECT TO
ANY ALLEGED PATENT OR COPYRIGHT INFRINGEMENT OR TRADE SECRET MISAPPROPRIATION BY
ANY SOFTWARE LICENSED UNDER THIS AGREEMENT.
12. TERM.
12.1. This Agreement remains effective until expiration or termination. This Agreement will immediately terminate upon notice
if you exceed the scope of license granted or otherwise fail to comply with the provisions of Sections 2, 3, or 5. For any
other material breach under this Agreement, Mentor Graphics may terminate this Agreement upon 30 days written notice if
you are in material breach and fail to cure such breach within the 30 day notice period. If a Software license was provided
for limited term use, such license will automatically terminate at the end of the authorized term.
12.2. Mentor Graphics may terminate this Agreement immediately upon notice in the event Customer is insolvent or subject to a
petition for (a) the appointment of an administrator, receiver or similar appointee; or (b) winding up, dissolution or
bankruptcy.
12.3. Upon termination of this Agreement or any Software license under this Agreement, Customer shall ensure that all use of the
affected Software ceases, and shall return it to Mentor Graphics or certify its deletion and destruction, including all copies,
to Mentor Graphics reasonable satisfaction.
12.4. Termination of this Agreement or any Software license granted hereunder will not affect Customers obligation to pay for
products shipped or licenses granted prior to the termination, which amounts shall immediately be payable at the date of
termination.
13. EXPORT. Software is subject to regulation by local laws and United States government agencies, which prohibit export or
diversion of certain products, information about the products, and direct products of the products to certain countries and certain
persons. Customer agrees that it will not export Software or a direct product of Software in any manner without first obtaining
all necessary approval from appropriate local and United States government agencies.
14. U.S. GOVERNMENT LICENSE RIGHTS. Software was developed entirely at private expense. All Software is commercial
computer software within the meaning of the applicable acquisition regulations. Accordingly, pursuant to US FAR 48 CFR
12.212 and DFAR 48 CFR 227.7202, use, duplication and disclosure of the Software by or for the U.S. Government or a U.S.
Government subcontractor is subject solely to the terms and conditions set forth in this Agreement, except for provisions which
are contrary to applicable mandatory federal laws.
15. THIRD PARTY BENEFICIARY. Mentor Graphics Corporation, Mentor Graphics (Ireland) Limited, Microsoft Corporation
and other licensors may be third party beneficiaries of this Agreement with the right to enforce the obligations set forth herein.
16. REVIEW OF LICENSE USAGE. Customer will monitor the access to and use of Software. With prior written notice and
during Customers normal business hours, Mentor Graphics may engage an internationally recognized accounting firm to
review Customers software monitoring system and records deemed relevant by the internationally recognized accounting firm
to confirmCustomers compliance with the terms of this Agreement or U.S. or other local export laws. Such review may include
FLEXlm or FLEXnet (or successor product) report log files that Customer shall capture and provide at Mentor Graphics
request. Customer shall make records available in electronic format and shall fully cooperate with data gathering to support the
license review. Mentor Graphics shall bear the expense of any such review unless a material non-compliance is revealed. Mentor
Graphics shall treat as confidential information all information gained as a result of any request or review and shall only use or
disclose such information as required by law or to enforce its rights under this Agreement. The provisions of this section shall
survive the termination of this Agreement.
17. CONTROLLINGLAW, JURISDICTION AND DISPUTE RESOLUTION. The owners of the Mentor Graphics intellectual
property rights licensed under this Agreement are located in Ireland and the United States. To promote consistency around the
world, disputes shall be resolved as follows: This Agreement shall be governed by and construed under the laws of the State of
Oregon, USA, if Customer is located in North or South America, and the laws of Ireland if Customer is located outside of North
or South America. All disputes arising out of or in relation to this Agreement shall be submitted to the exclusive jurisdiction of
Portland, Oregon when the laws of Oregon apply, or Dublin, Ireland when the laws of Ireland apply. Notwithstanding the
foregoing, all disputes in Asia (except for Japan) arising out of or in relation to this Agreement shall be resolved by arbitration in
Singapore before a single arbitrator to be appointed by the Chairman of the Singapore International Arbitration Centre (SIAC)
to be conducted in the English language, in accordance with the Arbitration Rules of the SIAC in effect at the time of the
dispute, which rules are deemed to be incorporated by reference in this section. This section shall not restrict Mentor Graphics
right to bring an action against Customer in the jurisdiction where Customers place of business is located. The United Nations
Convention on Contracts for the International Sale of Goods does not apply to this Agreement.
18. SEVERABILITY. If any provision of this Agreement is held by a court of competent jurisdiction to be void, invalid,
unenforceable or illegal, such provision shall be severed from this Agreement and the remaining provisions will remain in full
force and effect.
19. MISCELLANEOUS. This Agreement contains the parties entire understanding relating to its subject matter and supersedes all
prior or contemporaneous agreements, including but not limited to any purchase order terms and conditions. Some Software
may contain code distributed under a third party license agreement that may provide additional rights to Customer. Please see
the applicable Software documentation for details. This Agreement may only be modified in writing by authorized
representatives of the parties. All notices required or authorized under this Agreement must be in writing and shall be sent to the
person who signs this Agreement, at the address specified below. Waiver of terms or excuse of breach must be in writing and
shall not constitute subsequent consent, waiver or excuse.
Rev. 090402, Part No. 239301

Potrebbero piacerti anche