Sei sulla pagina 1di 4

A Combinatorial Approach to Suppress Leakage Power

in Nanoscale SRAM Cells


D.Kudithipudi, and E.John
Laboratory for Low Power Design
Department of Electrical and Computer Engineering
University of Texas at San Antonio
San Antonio, TX-78256
E-mail: dkudithi@lonestar.utsa.edu, eugene.john@utsa.edu

Abstract— In deep sub-100nm technologies, the exponential microprocessors are becoming large and complex, the
increase of static leakage current pose serious design internal data storage units in the form of cache arrays
challenges as we try to build efficient low power systems with consume an increasing portion of the transistor count and
faster memory cores. Consequently, it is imperative to design die area [3]. Henceforth, any alteration in the design of these
systems that adopt leakage control techniques during both
standby and active mode. In the recent past, the size of
sub-units will influence the overall power, performance and
memory cores also has been increasing at a very rapid pace to reliability of the system. SRAMs are the core storage
cope with the demands of high computing processors. Such elements of these cache arrays, thereby contributing to a
large memory cores will lead to significant leakage power significant portion of leakage current in the processor. The
dissipation, as most of the devices will be in a dormant or leakage reduction techniques applied at gate-level or logic-
standby mode. This study addresses the static current level cannot be applied to the conventional six-transistor
dissipation problem in an SRAM memory core, by SRAM circuit, since it is much more sensitive to the
implementing a combinatorial approach to reduce leakage variation of current (differential) at the bitlines.
current. In this approach we have combined multiple-Vth
devices, high-oxide thickness devices and dynamic Vth
techniques to reduce both subthreshold and gate-oxide leakage
Some of the previous work focused only on reducing
current. There is a ~60% savings in the total static current one of the leakage components for a particular technique
dissipated as compared to a conventional SRAM cell. [1,2,]. A detailed analysis on estimating leakage current in
the SRAM modules is presented in [4]. In [5], authors have
proposed a dual Vth technique to reduce leakage current,
I. INTRODUCTION with differential sensing for high-performance. In [7] a
Nanoscale process helps designers the efficacy to dynamic Vth scheme which uses variable body biasing to
achieve high complexity devices by integrating greater reduce leakage power was implemented. All these
density of transistors on the system-on-chip processors techniques reduce only subthreshold leakage current.
[1][2]. The downside of this is the dissipation of heavy
static leakage current at such small geometries. This will In our work, we concentrated on implementing circuit level
affect the system design process as a whole, especially for techniques to mitigate both sub-threshold and gate-oxide
mobile and hand-held devices where extreme performance leakage power. Multiple threshold voltages and Thick gate
with minimal power consumption is the prime concern oxide techniques are used independently to reduce active
[1][2][3]. To attain such conflicting goals, building power- mode leakage. To further take advantage of these techniques
efficient systems without sacrificing performance, proves a we used a combinatorial approach, in which we used high
very intricate task for chip designers. Specifically, the threshold voltage devices on critical leakage path and high
device techniques implemented in micron scale may not gate oxide devices on critical paths of the SRAM circuit.
hold true in nanoscale. Understanding the dynamics and Based on the technology node, optimal gate oxide thickness
physics of circuit behavior is itself still in an exploratory was chosen. Since this technique only takes care of the
stage. standby leakage, to reduce the active leakage power we
have implemented Dynamic Vth along with the high Vth,
On one end, leakage current is increasing at an high gate oxide devices. Two additional NMOS transistors
exponential rate in the nanoscale design due to the scaled are used in this architecture, where the NMOS substrate can
down gate oxide thickness, supply voltage, threshold be switched to 0V for high performance.
voltage and the channel length. On the other end, as The rest of the paper is organized as follows: Section II
explains the leakage current components and paths in an

This research was supported in part by the National Science Foundation


under Grant Number ECS- 0219338
0-7803-9197-7/05/$20.00 © 2005 IEEE. 599

Authorized licensed use limited to: University of Central Florida. Downloaded on October 25, 2008 at 23:16 from IEEE Xplore. Restrictions apply.
SRAM cell, Section III describes the estimation of leakage The subthreshold leakage current increases at the rate of
current in SRAM cells, Section IV provides the 3-6X per each technology generation following the scaling
experimental case studies that we used, Section V discusses theory [6], whereas the gate oxide thickness decreases at the
the results and Section VI has concluding remarks. rate of 30% per each technology generation. For further
smaller devices gate tunneling current is expected to be
II. LEAKAGE CURRENT IN AN SRAM CELL much higher than the subthreshold leakage current.
A conventional six transistor CMOS SRAM cell
comprises of cross-coupled inverters, which act as memory, There are two dominant subthreshold leakage paths in an
and two pass transistors which serve as a combination SRAM cell: 1) From the supply (VDD) to the GND terminal
read/write port, as shown in Fig.1. In order to determine the 2) From the bitline access transistors (N3 and N4) to the
mode of operation in an SRAM cell three different control ground. Collectively, they contribute up to 90% of the total
signals are used, Word, Bitline, and Bitline# (complement of leakage power in an SRAM cell. Whereas, the gate
Bitline). Once the complementary signals Bitline and tunneling leakage current of the access transistors is
Bitline# are precharged or driven by external circuitry, the determined by the voltage differential at the bitlines.
address is decoded and the wordline Word for the selected
row is driven across the array turning on the pass transistors
N3 and N4. In essence Word is the control signal which III. ESTIMATING LEAKAGE IN SRAM
enables the SRAM cell and determines either to write bitline The sub-threshold leakage drain current in an SRAM cell
and bitline# in to the memory or to read from the memory. is measured using the BSIM4 [10] model given by:
Sizing the transistors to the process minimum requirements Ids=I0 (1-e(-Vds/vt)) e(Vgs-Vth-Voff/nvt) (1)
will confine the differential charge between the bitline and
bitline# (which determines the speed of the read operation).
The operation of the SRAM cell is therefore very sensitive where I0 is the current dependent on the transistor geometry,
to the process variations and especially to the effects caused Voff is the empirically determined model parameter, Vds is
by static current dissipation. the drain-source voltage,vt is the thermal voltage (=KT/q,
where K,q are physical constants and T is the absolute
The two dominant sources of static leakage power in temperature), Vth is the threshold voltage, Vgs is the gate-
any CMOS circuit are: sub-threshold leakage current and source voltage, and n is the subthreshold swing parameter.
the gate-oxide tunneling current. When the gate-source The smaller the swing, the better the subthreshold behavior
voltage of a CMOS transistor is less than its threshold (lower leakage current at Vg=0 for given Vt). To reduce the
voltage (Vth), ideally there should be a negligible swing we can use thinner oxide devices. Further description
conduction between the drain and source (micron scale) [2]. of the parameters can be obtained from [10].
However, at very low Vth (in nanoscale devices), there
exists a significant inversion current from drain to the The gate-oxide tunneling current is given by the
source, which is referred to as the sub-threshold leakage. equations:
This implies that using higher Vth devices reduces the sub-
threshold leakage current. Also, at smaller geometries gate Igc = Weff * Leff* Jgate (2)
oxide is aggressively scaled to provide substantial current
drive (at reduced supply voltage) and to curtail the drain- Jgate=Ag*(tavef/tox)ntox(Vg.Vaux/tox2)*e(-Btox(α-β|Vox|)(1+γ|Vox|) (3)
induced barrier lowering (DIBL) effect [6]. This results in
the prevalence of the gate tunneling leakage current.
where Weff and Leff are the effective width and length of the
transistors (Note: P-type transistors are designed twice the
width of N-type transistors). Detailed description of the
P1 terms used in expression (3) is available in [10].
N3 N4
N1 Once the leakage current equation is defined, the overall
subthreshold leakage current is measured by summing up
P2 the drain current through each of the transistors and also the
bitline leakage power occurring through the bitline’s of the
N2
SRAM cell. In the next section we discuss the results of the
evaluation of the power estimates based on SPICE
______ simulations using NANOSIM simulator. Since the transistors
BitLine BitLine in the SRAM cells are fundamentally altered as high-
Figure 1: Conventional Six Transistor SRAM cell threshold and low-threshold voltage devices customized to
reduce the overall SRAM leakage power the leakage current

600

Authorized licensed use limited to: University of Central Florida. Downloaded on October 25, 2008 at 23:16 from IEEE Xplore. Restrictions apply.
for the p-type and n-type transistors was calculated • Case 6: Transistors P1, P2, N1, N2 - High Threshold
separately. We have simulated the basic SRAM memory Voltage and N3,N4- high gate oxide thickness
cell layout on MAGIC7.2 using BPTM [10] MOSFET
In the above cases the transistors P1-P2, N1-N4 used can
models for 65nm technology nodes. The SPICE simulations be related to the labels from Fig. 1. Using such high Vth
are performed on a transistor-level netlist with RC back devices in the leakage critical paths will reduce the sub-
annotation obtained from layout. Once the circuit is threshold leakage power [5]. As already mentioned high gate
validated, the same SPICE deck was used for estimating oxide thickness devices reduce the gate-oxide tunneling
leakage current in NANOSIM. Using the configuration file current. Integrating both the techniques on to one circuit is a
we obtain leakage currents from all the nodes and bitlines layout critical task. However, the leakage savings obtained
and calculate the average and RMS leakage current. To have are substantial to offset the layout problem.
more realistic results we have given a very large input
stimulus. This input stimulus is obtained from running B. Case Study-I1
ISCAS95 benchmark circuits. In this study case we use Dynamic Vth Scaling (DVTS)
[7] scheme for active leakage power reduction along with
IV. EXPERIMENTAL STUDY Study Case1 techniques. Whenever there is a slack during
computation, the threshold voltage is adaptively changed to
a higher value via changing the body bias voltage (VBB).
A. Case Study-1 When the world lines of the cache are not in use, we can
switch the substrate to negative voltage to reduce leakage.
The following are the case studies that we have used in our
Since small positive voltage is given to NMOS at standby
experimental study to reduce leakage power.
mode, it acts as an increased ground potential reducing most
of the voltage differences and hence reducing the gate
• Case 1: Transistors P1, P2, N3, N4 – High
Threshold Voltage. leakage in the SRAM cell. This will decrease the drain to

Figure 2: Average and RMS static leakage current of a


SRAM cell using Multiple-Vth and high gate oxide devices
Figure 3 Total Power consumed in an SRAM block for each
of the case studies
• Case 2: Transistors N3, N4- High Threshold Voltage source potential resulting in less Drain Induced Barrier
• Case 3: Transistors P1, P2, N1, N2 N3, N4- High Lowering (DIBL) factor. The switching time increases
Threshold Voltage from/to standby mode because of the high well capacitance.
A detailed study on dynamic Vth technique can be obtained
• Case 4: Transistors P1, P2, N3, N4 – High from [7].
Threshold Voltage and N1, N2- high gate oxide
thickness V. RESULTS AND DISCUSSION
• Case 5: Transistors N3, N4- High Threshold Voltage The static leakage current dissipated in the SRAM cell
and N1,N2-high gate oxide thickness for a 65nm technology node is shown in Fig.2. A supply of
0.8V is given based on the technology node.

601

Authorized licensed use limited to: University of Central Florida. Downloaded on October 25, 2008 at 23:16 from IEEE Xplore. Restrictions apply.
VI. CONCLUSIONS
In nanoscale domain, designing for low power and high
end performance systems is an impending task due to the
increasing effects of static power dissipation. In this paper,
we presented an integrated model for leakage power
reduction in SRAM cells. The results are obtained from RC
back annotation of layouts in to SPICE simulations based on
BPTM technology nodes for 65nm. Two study case sets
were incorporated to design the models. Case Study 1
results in a 40% savings in leakage power by implementing
high Vth and high oxide thickness devices at various paths of
the SRAM cell. The model from Case Study 2, which
incorporates dynamic Vth technique along with Study
Case1 techniques proved more effective by showing a 60%
savings in the static leakage current dissipated. We can
extend this model to the entire sub-block and also study the
impact on stability and the noise margins.
REFERENCES
Fig 4: Static Leakage Current dissipated for Case Study II [1] Z. Chen, L. Wei, M.Johnson, and K. Roy, “Estimation of Standby
Leakage Power in CMOS Circuits Considering Accurate Modeling of
The graph represents both the average and RMS (Root Mean Transistor Stacks”, Proceedings, International Symposium on Low-
Power Electronics and Design, 1998, pp 239-244.
Square) values of the leakage currents dissipated in an
SRAM cell. As expected, for all case studies the leakage [2] Q. Wang and S.B.K. Vrudhula, “ Static Power optimization of deep
submicron CMOS circuits for Dual VT Technology”, Proceedings,
power dissipated is less than that of a conventional SRAM IEEE/ACM International Conference on Computer-Aided Design,
cell with no special devices. However the savings for each 1998, pp 490-496.
case varies depending on external factors such as: 1) The [3] S. Borkar. Design challenges of technology scaling. IEEE
number of read and write operations performed by the Micro,19(4):23–29, July 1999.
benchmark stimulus. Usually more leakage current is [4] M. Mamidipaka, K. Khouri, N. Dutt, and M.Abadir, “Leakage Power
dissipated for read operation than that for a write operation. Estimation in SRAMs”, CECS Technical Report #03-32, Center for
2) Which of the transistors have high threshold voltage Embedded Computing Systems, University of California, Irvine,CA
devices (if they form the critical path or not). 3) Whether [5] F. Hamzaoglu, Y. Ye, A. Keshavarzi, K. Zhang, S. Narendra, S.
Borkar, M. Stan, and V. De. “Dual-Vt SRAM cells with fullswing
both the high threshold voltage devices and high gate oxide single-ended bit line sensing for high-performance on-chip cache in
thickness are used for a particular case. Considering all the 0.13um technology generation”. In Proceedings of the 2000
above conditions we can clearly see from the graph that International Symposium on Low Power Electronics andDesign
cases 4 and 6 have better savings compared to the other (ISLPED), July 2000.
cases, where both the techniques are employed. There is a [6] D. Lee, D. Blaauw, and D. Sylvester, “Gate Oxide Leakage Current
leakage current savings of 45% using these two techniques. Analysis and Reduction for VLSI Circuits”, IEEE Transactions on
Very large Scale Integration (VLSI) Systems, 12(2):155-166,
Implementing these techniques will also affect the total block February 2004.
power of the SRAM memory cell. In Fig.3, the block power
[7] C. H. Kim and K. Roy, “Dynamic VTH Scaling Scheme for Active
for each of the cases is plotted. The difference in total block Leakage Power Reduction”. Proceedings of Design Automation and
power between conventional SRAM cell and other cases is Test in Europe (DATE), March 2002, pp. 163-167.
not as significant as their counter leakage power savings [8] A.J. Bhavnagarwala, A. Kapoor, J.D. Meindl,
(Fig.2.).Considering each of the cases, the leakage power ”Dynamic-threshold CMOS SRAM cells for fast, portable
dissipation is less for case1 and case 3, but not the block applications”, Proceedings 13th Annual IEEE International
level power as compared to case2. This might be due to ASIC/SOC Conference, 2000, 13-16 Sept. 2000, pp 359 – 363
some performance loss caused by using the customized [9] Y. Cao, T. Sato, D. Sylvester, M. Orshansky, and C. Hu, "New
paradigm of predictive MOSFET and interconnect modeling for early
components. To further save the leakage power we have also circuit design," Proc. of IEEE CICC, pp. 201-204, Jun. 2000
implemented dynamic Vth technique along with the high [10] http://www-device.eecs.berkeley.edu/~ptm/mosfet.html
threshold voltage devices and high oxide thickness devices.
Fig.4 shows the reduced static leakage current when this
combinatorial approach is used. For all the cases there is a
55-60% reduction in leakage current when this approach is
used.

602

Authorized licensed use limited to: University of Central Florida. Downloaded on October 25, 2008 at 23:16 from IEEE Xplore. Restrictions apply.

Potrebbero piacerti anche