Sei sulla pagina 1di 218

WIRELESS OFDM SYSTEMS

How to make them work?


THE KLUWER INTERNATIONAL SERIES
IN ENGINEERING AND COMPUTER SCIENCE
WIRELESS OFDM SYSTEMS
How to make them work?
edited by
Marc Engels
IMEC, Belgium
KLUWER ACADEMIC PUBLISHERS
NEW YORK, BOSTON, DORDRECHT, LONDON, MOSCOW
eBook ISBN: 0-306-47685-1
Print ISBN: 1-4020-7116-7
2002 Kluwer Academic Publishers
New York, Boston, Dordrecht, London, Moscow
Print 2002 Kluwer Academic Publishers
All rights reserved
No part of this eBook may be reproduced or transmitted in any form or by any means, electronic,
mechanical, recording, or otherwise, without written consent from the Publisher
Created in the United States of America
Visit Kluwer Online at: http://kluweronline.com
and Kluwer's eBookstore at: http://ebooks.kluweronline.com
Dordrecht
In memory of my father who died
on 5 January 2002
How to make them work?
Contents
List of Figures
List of Tables
Preface
Contributors
Acknowledgements
ix
xv
xvii
xix
xxiii
Introduction
1.1 A connected world emerges
1.2 Wireless OFDM: the next technology wave
1.3 Wireless OFDM systems
1.4 Structure of the book
Understanding the indoor environment
2.1 Introduction
2.2 Propagation losses
2.3 Multipath propagation
2.4 Time variant channels
2.5 Conclusions
1
1
3
5
7
11
11
12
17
26
30
The OFDM Principle
3.1 The OFDM principle
3.2 The OFDM system model
33
33
38
viii OFDM Systems
3.3
3.4
3.5
3.6
What if the channel is time-variant?
OFDM receiver performance
Coding: an essential ingredient
Summary
When people agree on OFDM
4.1
4.2
4.3
WLAN standards
HIPERLAN/2
Differences between HIPERLAN/2 and IEEE 802.11a
Beating the wireless channel
5.1
5.2
5.3
5.4
Introduction
Channel models and characteristics
One-Dimensional Channel Estimators
Two-Dimensional Channel estimators.
Avoiding a tower of Babel
6.1
6.2
6.3
6.4
Introduction
Effects of out of sync transmission
Timing synchronisation
Frequency synchronisation
Living with a real radio
7.1
7.2
7.3
7.4
7.5
Introduction
How the front-end impairs the OFDM modem
A system simulation tool
Analysis and simulation of the main front-end effects
Conclusions
Putting it all together
8.1
8.2
8.3
8.4
Introduction
The basedband signal processing ASIC
The discrete system set-up
Learning from results
Abbreviations
Variables
Notation
Index
41
45
48
50
53
53
54
73
75
75
76
80
90
95
95
96
100
106
113
113
115
122
127
149
151
151
155
171
178
191
195
199
201
How to make them work?
List of Figures
Figure 1.1. World-wide number of Internet users 1
Figure 1.2. World-wide number of mobile phones 2
Figure 1.3. Wireless Internet Technologies 3
Figure 1.4. Broad-band channel response 4
Figure 1.5. Single frequency network 5
Figure 2.1. Typical interference in 2.4 GHz ISM-band coming from a
microwave oven (a) or a Bluetooth hopper (b) 17
Figure 2.2. multipath propagation situation 18
Figure 2.3. Ray-tracing example (reflections in a corner) 22
Figure 2.4. Floorplan for ray tracing example 1 24
Figure 2.5. Power delay profile and baseband frequency response for ray
tracing example 1 24
Figure 2.6. Floorplan for ray tracing example 2 25
Figure 2.7. Power delay profile and baseband frequency response for ray
tracing example 2 25
Figure 2.8. Time correlation for different models of mobility 29
Figure 2.9. Doppler spectra for different mobility models 30
Figure 3.1. Subdivision of the bandwidth into subbands 33
Figure 3.2. multicarrier modulation 34
Figure 3.3. Spectrum of an OFDM signal 36
Figure 3.4. OFDM demodulation 37
Figure 3.5. Cyclic Prefix 37
Figure 3.6. Discrete-time baseband equivalent model of an OFDM system 38
Figure 3.7. Discrete-frequency representation for the Doppler multipath
channel and an OFDM receiver 44
Figure 3.8. OFDM performance for AWGN 45
x OFDM Systems
Figure 3.9. OFDM-QPSK performance in a multi-path channel versus
signal-to-noise ratio for various speeds of the mobile,
subcarriers, 47
Figure 3.10. Forward error coding for OFDM 49
Figure 3.11. Coded OFDM performance in a multipath channel 50
Figure 4.1: HIPERLAN/2 protocol stack in the AP 55
Figure 4.2. Segmentation and reassembly operation 56
Figure 4.3. MAC frame structure 57
Figure 4.4. Broadcast PDU train with preamble 61
Figure 4.5. Downlink PDU train with preamble 61
Figure 4.6. Uplink PDU train with short preamble 62
Figure 4.7. Direct link PDU train with preamble 62
Figure 4.8. PHY layer reference configuration 63
Figure 4.10. Scrambler block diagram 65
Figure 4.12. Channel coder block diagram 65
Figure 4.13. Mother convolutional encoder block diagram 65
Figure 4.14. Mapping of data and pilot carriers 68
Figure 4.15. Payload section consisting of several OFDM symbols with CP
68
Figure 4.16. PHY burst format 69
Figure 4.17. Broadcast burst preamble structure 69
Figure 4.18. Overview of different PHY bursts: (a) broadcast burst, (b)
Downlink burst, (c) Uplink burst with short preamble, (d) Uplink burst
with long preamble, (e) Direct link burst 71
Figure 4.19. Transmit spectral mask 72
Figure 5.1. The OFDM system model 77
Figure 5.2. The "OFDM Channel" is a set of parallel Gaussian channels 78
Figure 5.3. Resampling a non-sample-spaced channel extends the channel
length 79
Figure 5.4. Early and Late Synchronisation result in a longer channel and
can lead to violation of the Cyclic Prefix condition 79
Figure 5.5. The ML estimator enables low complexity and time-frequency
interpretation 85
Figure 5.6. Comb Spectrum for 85
Figure 5.7. FFT-based approaches outperform the SVD-based approaches by
an order of magnitude for spectral shaping systems 86
Figure 5.8. Performance of ML and approximate LMMSE estimators 87
Figure 5.9. Simplified Time-Frequency grids in OFDM 91
Figure 6.1. Effect of early and late synchronisation 97
Figure 6.2. Principle of the Schmidl and Cox auto-correlation based timing
synchronisation circuit 101
How to make them work? xi
Figure 6.3. A sequence of inverted training symbols followed by a sequence
of identical training symbols give a more accurate timing acquisition.
102
Figure 6.4. Principle of a cross-correlation frame synchronizer 103
Figure 6.5. Principle of a frame synchroniser based on the cyclic prefix.. 104
Figure 6.6. Transforming a training sequence into a cyclic prefix 105
Figure 6.7. Timing estimation accuracy in function of SNR, with the number
of training sequences Mas a parameter 106
Figure 6.8. Principle of Moose auto-correlation based frequency
synchronisation circuit 107
Figure 6.9. Frame/frequency synchroniser based on the cyclic prefix 109
Figure 6.10. Frequency estimation accuracy in function of SNR, with the
number of training sequences M as a parameter 110
Figure 7.1. Simplified schematic of an OFDM transceiver 114
Figure 7.2. I/Q demodulation with I/Q imbalance 117
Figure 7.3. The implementation loss versus the difference between the
power of an additional Gaussian noise source and the channel noise
power resulting in a given BER is described by a
unique curve 119
Figure 7.4. Implementation loss IL versus the noise power of an additional
Gaussian noise source, for powers ranging from 25 dBc to 45dBc. This
plot relates to 64 QAM transmission at BER of and
120
Figure 7.5. BER curve for coded 64QAM, with coding rate on an
AWGN channel without any front-end effect 121
Figure 7.6. Schematic of the full link model as modeled in MATLAB for
this study 123
Figure 7.7. BER curves for 52 non-zero 64QAM-modulated subcarriers with
a coding rate of 3/4, showing a 3dB implementation loss due to
corruption of the long training symbol not corrected in the equalizer.
124
Figure 7.8. The BER curve for uncoded 64QAM resulting from simulation
matches the theoretical one 126
Figure 7.9. The optimal clipping level depends on the word-length of the
transmitted symbols 128
Figure 7.10. BER curves, showing the implementation loss due to clipping
and quantization noise 129
Figure 7.12. Effect of phase noise on 1 OFDM symbol . Legend: *
transmitted symbol, received symbol 133
Figure 7.13. Effect of phase noise on all OFDM symbols in the same burst.
Legend: * transmitted symbol, received symbol 133
Figure 7.14. Phase noise spectrum 134
Figure 7.15. Effect of phase noise on BER for uncoded 64QAM 137
xii OFDM Systems
Figure 7.16. Domains of clipping after clipping operation (on magnitude
of I and Q separately) and after clipping operation (on magnitude of
) 139
Figure 7.17. For an input signal clipped at and with +6dBm average
power, the power amplifier is driven up to the limit of saturation for
141
Figure 8.7. Robust timing acquisition relies on preamble auto-
correlation in combination with signal power monitoring 162
Figure 8.8. The carrier frequency offset estimate feeds a phase accumulator
and a CORDIC to limit CFO on the signal entering the FFT 163
Figure 7.19. The IL is 0.5 dB for at ... 142
Figure 7.20. ADC clock jitter effects are included before conversion by an
ideal ADC 143
Figure 7.22. SIR versus synchronization location for three test cases: no
analog channel select filter, channel select filters A and B with similar
inband ripple (no adjacent channels). Only filter A shows fairly high
SIR for a large synchronization range 145
Figure 7.23. Influence of the filter impulse response on the BER
performances for the 3 filters already considered in Figure 7.22, with
synchronization on the sample that shows maximum SIR (uncoded
64QAM in AWGN channel). As expected, Filter B shows poor BER
performances 145
Figure 7.24. SIR performance of the filter architecture A in dispersive
channels: the SIR is quite insensitive to the multipath channel impulse
response 146
Figure 7.25. SIR performance of the filter architecture B in dispersive
channels: this architecture provides similar channel selection
performances, but shows much more sensitivity to the multipath
channel impulse response 146
Figure 7.26. BER performances evaluation for the example in a Gaussian
channel 148
Figure 8.1. A wireless webcam scenario was chosen as application scenario.
152
Figure 8 2. Detailed wireless webcam communication scenario 153
Figure 8.3. The design goals for the ASIC are divided upon concept,
implementation, and methodology to make sure that we arrive at an
implementable solution 155
Figure 8.4. Partitioning of the ASIC 157
Figure 8.5. A radix 2-2 scheme significantly reduces the arithmetic
complexity of the fast Fourier transform 159
Figure 8.6. The symbol-based samplereordering (SSR) unit essentially
allows a set of intra-symbol data transfer operations based on a generic
architecture 160
How to make them work? xiii
Figure 8.9. The Festival equalizer reveals a low-cost solution with feed-
forward channel estimation and feedback decision-directed tracking. 163
Figure 8.10. The Carnival equalizer requires an interpolator and divider in
addition to the Festival equalizer since modulation schemes up to 64-
QAM need to be processed 164
Figure 8.11. Simple, reference symbol-based channel estimation reveals
poor noise-influenced results. The interpolator improves the channel
estimate S/N by 2.5 to 3 dB 165
Figure 8.12. The impulse response is truncated and interpolated using a fully
programmable transformation matrix operation 165
Figure 8.13. Clock offset is tracked by guard interval correlation and
averaging over multiple OFDM symbols 166
Figure 8.14. The receiver and transmitter token flow exploits a closed loop
token scheme 168
Figure 8.15. The object-oriented desing flow starts from C++ and ends in a
conventional HDL-based design flow 170
Figure 8.16. 5 GHz WLAN demonstration setup excluding the power
amplifier board 171
Figure 8.17. Software protocol stack for the webcam application (Windows)
and for file transfer or test purposes (Linux) 172
Figure 8.18. The FPGA contains a central processing unit (CPU) that
coordinates both data transfers (RX and TX) and signalling information
(serial protocol, MPI) 173
Figure 8.19. Digital baseband board. Different versions exist for the Festival
and Carnival ASICs because they are not pin-compatible 175
Figure 8.20. Baseband signal processing 175
Figure 8.22. Architecture of the digital IF implemented in an FPGA 176
Figure 8.23. The integrated front-end has a superheterodyne architecture.
The discrete board set-up uses a similar architecture 177
Figure 8.24. Carnival ( CMOS, left) outperforms Festival (
CMOS, right) at the cost of an 30 % area increase only 179
Figure 8.25. Non-compliant burst (lower) and preamble (upper) format in
Festival and Carnival ASICs 183
Figure 8.27. Proposed automatic gain control architecture 185
Figure 8.28. Signal-to-noise ratio and estimation error of the quantized ADC
output 185
Figure 8.29. Generic transmitter architecture 187
How to make them work?
List of Tables
Table 2.1. Channel characteristics for set-up 1 and set-up 2 26
Table 4.1. Number of OFDM symbols per transport channel excluding
physical layer preambles in function of the modulation and code rate R
62
Table 4.2. Mode dependent parameters 64
Table 4.3. OFDM parameters 67
Table 4.4. HIPERLAN/2 carrier frequencies and EIRP 72
Table 4.5. Comparison between HIPERLAN/2 and IEEE 802.11a 73
Table 7.1. Implementation Loss on uncoded 64QAM at a BER of due to
quantizing and clipping for variable word-length b and clipping at
129
Table 7.2. Implementation Loss on uncoded 64QAM at a BER of due to
I/Q imbalance 131
Table 7.4. Simulated Implementation Loss on uncoded 64QAM at a BER of
due to magnitude clipping, for different normalized clipping factors
(normalized to ) 139
Table 8.1. Both Festival and Carnival shall be highly flexible, programmable
ASICs 154
Table 8.2. Carnival outperforms Festival with respect to spectral efficiency
and energy efficiency at a moderate increase in area despite a
significantly higher complexity 180
Table 8.3. There is an explosion in code size during top-down refinement
steps 180
Table 8.4. A fair reuse percentage between the two designs was obtained
despite significant algorithmic changes 181
How to make them work?
Preface
Wireless Local Area Networks (WLANs) experience a growing
popularity recently. Where WLANs were primarily used for niche
applications in the past, they are now deployed as wireless extensions to
computer networks. The increase of the datarates from 2 Mbps up to 11
Mbps for roughly a constant price has played a major role in this
breakthrough.
As a consequence, an even greater success can be envisioned for the
more recent OFDM-based WLAN standards in the 5 GHz band, which offer
up to 54 Mbps. At IMEC we have realised this potential already several
years ago and have established a successful research programme on OFDM-
based WLAN.
In 1995, we started our research on wireless OFDM in the frame of a co-
operation project with SAIT, a Belgian telecom company. The goal of the
project was to establish a robust network for industrial environments. This
resulted in a first OFDM chip, supporting QPSK, for wireless networking at
the end of the project (1999).
1999 was also the start of an intense co-operation with National
Semiconductor Inc., which resulted in a second generation ASIC in 2000.
This OFDM processor supports up to QAM-64 and has a more optimal
channel estimation algorithm.
Meanwhile, we have set-up a co-operation program, which currently
includes more then 10 partners. In the program we expanded our activities
from the digital baseband signal processing towards the 5GHz front-end and
the MAC implementation. We also defined a roadmap to realise WLAN
systems with an indoor range up to 100 meters and a capacity beyond 100
Mbps. The first goal requires for techniques like adaptive loading and turbo
xviii OFDM Systems
coding. For increasing the network capacity, multiple antenna techniques
play an essential role.
To let a wider audience profit from our long-time experience with
implementing WLAN OFDM systems, we also developed a tutorial, which
has been delivered several times with great success. Recently, however, the
interest for wireless OFDM is spreading in new application domains with a
rapid increase of the interested audience as a consequence. For instance,
wireless access standards are adopting OFDM based solutions, it was
proposed for wireless personal area networks and it is heavily promoted as a
candidate for next generation mobile networks. This led us to the idea to put
our tutorial material down into a manuscript.
The result of this effort is in front of you. We hope that you enjoy reading
it and that it is useful in your professional work.
Marc Engels
How to make them work?
Contributors
Boris Come is the leader of the architecture design team in the mixed-
signal and RF applications (MIRA) group of IMEC. Boris was born in
France in 1974. He graduated from the National Engineering School of
Electronics in Toulouse, ENSEEIHT, in June 1998. As part of his studies, he
performed two internships: the first one was a three-month summerstay in
ESA-ESTEC in 1997; the second one a four month internship, from March
to June 1998, at IMEC. After graduating, he started working in the MIRA
group at IMEC. His main research interests are in the design of mixed-signal
and RF front-ends for digital telecom system. For the past 2 years, he has
been working on system specification and architecture definition for
HIPERLAN/2 and IEEE 802.1la compliant WLAN transceivers. His main
focus was the RF module for which a single package solution is targetted.
Luc Deneire received the Engineering degree in Electronics from the
University of Liege (Belgium) in 1988, the Engineering degree in
Telecommunications from the University of Louvain-La-Neuve in 1994 and
the Ph.D. degree in Signal Processing at Eurecom, Sophia-Antipolis, France
in 1998. During this time, he was a Marie Curie Fellow. In 1999, he was
consultant for Texas Instruments, Villeneuve-Loubet, France, for the UMTS
base-station signal processing requirements. Since late 1999, he is a senior
researcher at IMEC, the largest European independent research institute in
Microelectronics. He is working on the signal processing algorithms
involved in wireless communications, specifically for third generation
mobile network, Wireless LANs and Wireless Personal Area Networks. His
main interests are blind and semi-blind equalisation and channel estimation,
modulation theory, multiple access schemes, smart antennas and link
adaptation. He is the author of more than 40 conference and journal papers.
xx OFDM Systems
Wolfgang Eberle received the M.S. degree in Electrical Engineering
from Saarland University, Saarbruecken, Germany, in 1996 with
specialization in microwave engineering and telecommunication networks.
He joined the Wireless Systems Group of IMEC in 1997 working on
algorithm development and digital VLSI architecture design for OFDM-
based wireless LAN modems. In 2000, he joined the Mixed-Signal and RF
Applications Group of IMEC where he now focusses on system-level mixed-
signal aspects including digital compensation of receiver nonidealities,
power-efficient transmitters, and design methodologies, applied to wireless
LANs. He is also working towards the Ph.D. degree in Electrical
Engineering at the Katholieke Universiteit Leuven, Belgium.
Marc Engels is co-founder of LoraNet, a new company in fixed wireless
access, and responsible for research and product development. The company
will focus on sub 11 GHz systems that operate under non line-of-sight
conditions. Technologies involved are Orthogonal Frequency Division
Multiplexing (OFDM) and Space division multiple access (SDMA). Before,
Marc Engels was the director of the wireless department at IMEC, focussed
on the implementation of telecommunication systems on a chip. For these
systems, he overlooked research on the DSP processing, the mixed-signal
RF front-end and the software protocols. He was also active in design
methods and tools for implementing multi-disciplinary systems. Under his
supervision, several systems have been realised, including a 54 Mbps
WLAN terminal, a GPS-GLONASS receiver, a DECT-GSM dual mode
phone, a cable modem, etc. Previously, Marc performed research at the
Katholieke Universiteit Leuven, Belgium, Stanford University, CA, USA,
and the Royal Military School, Brussels, Belgium. Marc Engels received the
engineering degree (1988) and the Ph.D. (1993), both from the Katholieke
Universiteit Leuven, Belgium. Marc Engels is a visiting professor of telecom
system design at the Katholieke Universiteit Leuven and of embedded
system design at the University of Lugano, Switzerland. He is an active
member of the KVIV telecommunications society and URSI, secretary of the
IEEE Benelux chapter on vehicular technology and telecommunications and
member of the board of directors of SITEL. He is currently an associated
editor for the Wirelss Personal Communications journal and was associated
editor of IEEE transactions on VLSI in 1999-2000.
Bert Gyselinckx is heading the Wireless Systems group of IMEC. This
group performed projects in the fields of WLAN, broadband satellite
communication, navigation systems and cellular communication. His main
research interests are in spread-spectrum, wireless communications and
VLSI systems. Bert received the M.S. degree in Electrical Engineering from
How to make them work? xxi
the Rijksuniversiteit Gent, Belgium, in 1992 and the the M.S. degree in Air
and Space Electronics from the Ecole Nationale Superieure de
l'Aeronautique et de l'Espace, Toulouse, France, in 1993. Previously he
worked for the Research and Development group of Siemens in Munich,
Germany.
Jean-Paul Linnartz is a Department Head with the Natuurkundig
Laboratorium (Nat.Lab.) of Philips Research, at Eindhoven, The
Netherlands. Here, as a Principal Scientist he studied the protection of audio
and video, in particular through the technology of electronic watermarking.
In 1992-1993,he was an Assistant Professor at the University of California at
Berkeley, where he worked on random access for wireless networks. In
1993, he was the first to use the name Multi-Carrier CDMA in one of the
first papers on the combination of OFDM with CDMA. In 1991, he received
his Ph.D. cum laude on multi-user mobile radio networks from Delft
University of Technology, The Netherlands. He has twenty (pending) patents
in the field of electronic watermarking, copy protection and radio
communications. He authored over 100 papers, he is founding Editor-in-
Chief of "Wireless Communication, The Interactive Multimedia CD-ROM",
and he has been guest editor for two special journal issues on Multi-Carrier
Modulation.
Reto Ness received the engineering degree in Electrical Engineering
from the University of Karlsruhe, Germany and from the Ecole Nationale
Suprieure dElectronique et de Radiolectricit de Grenoble, France, in
1999. He carried out his thesis in the Wireless Systems group at IMEC,,
Belgium, where he focussed on narrow-band interference cancellation in
OFDM-based WLANs. Currently, he works in the development department
of Tenovis GmbH & Co. KG, Germany.
Steven Thoen was born in Leuven, Belgium, in 1974. He received the
diploma of electrical engineering from the Catholic University of Leuven,
Leuven, Belgium in 1997. In October 1997 he joined IMEC where he is
currently finishing the Ph.D. degree from the Catholic University of Leuven,
Leuven, Belgium. During this period, he spent 6 weeks as a visiting
researcher at the Information Systems Lab, Stanford University, Palo Alto,
California, USA. His current research interests lie in the area of digital
communication theory including multiple antenna systems, OFDM
modulation, adaptive modulation and wireless systems. He has authored
several papers and one patent on these topics.
Jan Tubbax is a PhD student at the K.U.Leuven, Who performs its
research in the Wireless Systems (WISE) group of IMEC. The subject of his
xxii OFDM Systems
Ph. D. research is the design of a high-performance, low-cost wireless LAN
system Jan Tubbax received his M.S. degree as Electrical Engineer,
telecommunications in 1998 from the Department of Electrical Engineering
(ESAT) at the Katholieke Universiteit Leuven, Belgium. The subject of his
M.S. thesis was a study on the performance of protocols for wireless and
mobile communications.
Patrick Vandenameele is the chief systems architect for Resonext
Communications, a fab-less semiconductor company based in San Jose, CA,
USA, developing and marketing end-to-end two-chip Wireless LAN
solutions. Patrick is responsible for the specifications and architectures of
both the PHY and MAC functions. Also, he leads the companys systems
engineering team located in Leuven, Belgium. Before joining Resonext
Communications, he was a researcher in the Wireless Systems group at
IMEC. His research, funded by an IWT scholarship, resulted in low-
complexity detection algorithms for OFDM/SDMA, including solutions to
real-world problems such as channel estimation, synchronization, power
control and the integration in a multiple-access protocol. Patrick received the
engineering degree (1996) and the Ph.D. degree (2000), both from the
Katholieke Universiteit Leuven, Belgium. His thesis, entitled Space Division
Multiple Access for Wireless LANs, was published by Kluwer Academic
Publishers. During his studies, he did internships at ST-Microelectronics,
Crolles, France; Sirius, Montpellier France; ENST, Paris, France; KTH-
Electrum, Kista, Sweden; and the Smart Antennas Research Group at
Stanford University, CA, USA.
Liesbet Van der Perre received the M.Sc. degree in Electrical
Engineering from the K.U.Leuven, Belgium, in 1992. She performed her
M.Sc. thesis research at the ENST in Paris, France. She received the Ph.D.
degree in Electrical engineering from the K.U.Leuven in 1997. Currently,
she is the director of IMECs wireless program. Her work focuses on system
design and digital modems for high-speed wireless communications. She
was a system architect in IMECs OFDM ASICs development, and the
leader of the turbo coding team. Also, she is a part-time professor at the
University of Antwerp, Belgium.
How to make them work? xxiii
Acknowledgements
This book was only possible with the help and support of many people.
In the first place, I like to thank all the authors that contributed to the various
chapters. I am particularly indebted to Liesbet Van der Perre, who also
contributed to the concept of the book.
The material in the chapters is the result of the wireless program at
IMEC. I am grateful to all the people that worked with me in this program
during the last 7 years and realised these excellent results.
Finally, a word of thanks is due to my wife Els and my three daughters
Heleen, Laura and Hanne for their patience and support.
Marc Engels
Chapter 1
Introduction
The world goes wireless!
Marc Engels
IMEC
1.1 A CONNECTED WORLD EMERGES
About a decade ago, the telecommunication infrastructure was targeted
towards fixed analogue telephony, with support for voice and narrow band
data communication. In 10 years, the digitalisation of the communication
infrastructure, the support for broadband access at home and the success of
mobile phones have changed this situation completely.
End-to-end digitalisation of the telecom network was achieved with the
introduction of the Integrated Services Digital Network (ISDN) [1].
2 Chapter 1
However, market success was limited due to the lack of interesting services.
This changed dramatically with the fast take-up of the Internet by business
and residential customers in the 90s (see Figure 1.1).
The success of the Internet rapidly created a market for data pipes to
individual users. The 128 kbps of ISDN was no longer considered sufficient.
Hence, Asymmetrical Digital Subscriber Line (ADSL) [2] and cable modem
[3] technologies were developed to increase the datarate to several hundreds
kbps, with a theoretical maximum of 10 Mbps. Very high speed Digital
Subscriber Line (VDSL) technology [4] is currently investigated. It will
further increase the datarate up to 52 Mbps.
In the same time frame, digital mobile communication experienced an
extraordinary success: the number of mobile phones doubled every two
years (see Figure 1.2). Europe was a forerunner in this evolution because of
the unified GSM (Groupe Speciale Mobile) standard [5]. In the U.S., on the
contrary, a multitude of standards was deployed, IS-136 [6], based on Time-
Division Multiple Access (TDMA) and IS-95 [7], based on Code Division
Multiple Access (CDMA).
The logical next step would be to bring these two trends together and
create the wireless Internet. The wireless Internet will not be a single
technology, but rather a collection of different systems (see Figure 1.3).
Third generation mobile systems [8] will be the wide area network (WAN)
that provides up to 2 Mbps to stationary users, while offering up to 384 kbps
towards fast moving terminals. Research for fourth generation systems, that
will provide at least 10 Mbps, has already started [9]. For indoor wireless
local area networks (WLAN), standards (HIPERLAN/2 in Europe [3]; IEEE
802.11 in US [11]) are available that offer up to 54 Mbps. Also for the
Introduction 3
wireless local loop (WLL), the wireless first mile solution, standards with
similar performances are under construction (HIPERMAN in Europe and
IEEE 802.16a in US). Further generations of these WLAN and WLL
standards with datarates beyond 100 Mbps can be anticipated. Extension of
the wireless Internet to a large set of personal devices is foreseen with
personal area networks (PAN). An example is the current bluetooth system
[12] that offers a peak data rate of 721 kbps.
1.2 WIRELESS OFDM: THE NEXT TECHNOLOGY
WAVE
The systems that constitute the wireless Internet operate in largely
different environments. Some can still be considered as narrow-band (e.g.
bluetooth) while other, like WLANs, have broadband characteristics. For
some systems the performance is limited by the signal-to-noise ratio (SNR)
and for others the interference from other cells is the limiting factor. To
adapt to these environmental aspects the wireless systems apply various air
interfaces. They can be grouped in three main technology waves that
consecutively appeared and became popular.
Early wireless technologies consisted of TDMA-based single carrier
communication. This technology is ideally suited for narrow-band single-cell
communication. Therefore it was adopted in second generation mobile
phones (e.g. GSM [5]), cordless telephony (e.g. DECT [13]) and more
recently in first generation personal area networks (e.g. bluetooth [12]).
4 Chapter 1
When a limited spectral efficiency is acceptable, constant modulus schemes,
like Gaussian Minimum Shift Keying (GMSK)[14], are the most cost
effective. To increase spectral efficiency, M-ary Phase Shift Keying (M-
PSK) or M-ary Quadrature Amplitude Modulation (M-QAM) schemes are
used. The performance of these schemes is limited by the received signal-to-
noise ratio.
In a cellular system, however, the performance is not limited by the
signal-to-noise ratio but rather by the interference from neighbouring cells,
called co-channel interference. For a TDMA system this implies that
frequencies are only being re-used in cells which are sufficiently far from
each other, reducing the overall network bandwidthefficiency. An
alternative is to use systems that can cope with the co-channel interference.
Code division multiple access, based on spread spectrum communication,
has this property. As a consequence, it became a second technology wave
that was adopted in second and third generation cellular mobile
communication systems (e.g. IS-95 [7]and W-CDMA [8]) and in wireless
local area networks (e.g. IEEE 802.11b [11]).
In a multipath environment (e.g. indoor wireless networks) broadband
communication will result is a frequency selective channel response, as
shown in Figure 1.4. In such a situation, the above technologies do not work
optimally and a modulation scheme is needed that is better suited to the
environment. For this reason, Orthogonal Frequency Division Multiplexing
(OFDM) became very popular recently. It is, for instance, used in the
HIPERLAN/2 [3] and IEEE 802.11a [11] WLAN standards as well as in the
draft IEEE 802.16a WLL standard [15]. As a consequence, it can be
considered as the next technology wave. For instance, it is, potentially
Introduction 5
combined with CDMA, one of the strong candidates for generation
cellular systems.
1.3 WIRELESS OFDM SYSTEMS
Although the principle of OFDM communication has been around for
several decades, it was only in the last decade that it started to be used in
commercial systems. The most important wireless applications that make use
of OFDM are Digital Audio Broadcasting (DAB), Digital Video
Broadcasting (DVB), wireless local area networks (WLAN), and more
recently wireless local loop (WLL).
The DAB system was originally developed by the Eureka 147 project
[16]. It is a novel audio broadcasting system [17] intended to supersede the
existing analog Amplitude and Frequency Modulation (AM, FM) systems. It
is rugged, yet highly spectrum and power efficient. It has been designed for
terrestrial and satellite as well as for hybrid and mixed delivery. DAB is seen
as the future of radio as it makes more efficient use of crowded airwaves and
provides CD-quality sound that is noticeably better than an FM analog
broadcast. DAB broadcasts are virtually immune for interference and fading
(i.e. programs are not suddenly lost when the car passes through a tunnel or
under power lines). One of the principal advantages of switching to DAB is
that a single frequency (called a "Multiplex") can carry up to six stereo or 12
mono services or any combination in between. DAB also allows going
6 Chapter 1
beyond audio and using some of the "Multiplex" capacity to transmit data
that is not related to programming, such as financial data and digital video.
DAB makes use of an OFDM transmission scheme with differential
QPSK (Quadrature Phase Shift Keying) modulation. Four different modes
are specified to cope with different multipath delays (up to a difference in
propagation distance of 73,8 km). All of them transmit a signal with a
bandwidth of approximately 1.54 MHz.
One of the key benefits of using OFDM for DAB is the ability to
establish single frequency networks (Figure 1.5). In a single frequency
network several geographically separated transmitters, send the same signal
simultaneously. As a consequence, any receiver receives the sum of these
transmitted signals each with a different propagation delay. As long as the
differences between these propagation delays are substantially smaller than
the guard interval, the OFDM system will work with neglectible
performance degradation.
The DVB system [19] is very similar to the DAB standard but is intended
for broadcasting of digital television signals. MPEG-2 source compression is
used to reduce a standard television channel to approximately 3 Mbps and a
high definition TV channel to 20 Mbps. Because of the higher datarates, the
DVB system uses a 8 Mhz bandwidth. The subcarriers in the OFDM signal
are also modulated with a higher order QAM constellation, with up to 64
points.
Third generation WLAN systems are intended to offer high datarates in
the 5 GHz frequency band. The standardization is taking place
simultaneously in the U.S., Japan and Europe. The IEEE is working on the
IEEE 802.11a standard for the U.S. The Multimedia Mobile Access
Communication Systems (MMAC) group [14] of the Japanese Association
of Radio Industries and Businesses (ARIB) establishes the HiSWANa
standard. The European Telecommunication Standards Institute (ETSI) has a
workgroup on Broadband Radio Access Networks (BRAN) that develops the
HIPERLAN/2 standard [3]. All three standards have very similar physical
layers optimized for indoor environments with a large amount of multipath
with limited delays. The communication is based on OFDM in a 20 MHz
bandwidth. Per subcarrier, the modulation scheme ranges from BPSK
(Binary Phase Shift Keying) up to 64-QAM. Together with a variable error-
coding rate, this allows the datarate to be adapted from 6Mbit/s to 54Mbit/s,
depending on the propagation channel conditions.
Wireless local loops provide high speed Internet access and multimedia
services to fixed users. They are a competitive technology to VDSL and
cable modems. Licensed frequency bands are reserved for WLL systems: 2.4
GHz in the US and 3.5 GHz in Europe. Up till now, a large variety of
systems exists, keeping the production volumes low and the cost of the
equipment high. To remedy this situation, which prevents success in the
Introduction 7
market, a worldwide standardization effort was started. In the US, the IEEE
802.16 committee is working towards a standard medium access control
(MAC) standard that can work with multiple physical layers. It defines a
physical layer for bands above 11 GHz, one for the licensed bands between 2
GHz and 11 GHz (IEEE 802.16a), and one for the unlicensed bands between
2 GHz and 11 GHz (IEEE 802.16b). At the same time, ETSI BRAN has
established workgroups for WLL systems below 11 GHz (HIPERMAN) and
above 11 GHz (HIPERACCESS). The activities of both standardization
committees are being aligned to come up with compatible systems in both
standards.
The sub 11 GHz standards are focussing on non line-of-sight situations
that experience multipath propagation with relative long delays (up to 20
ms). They support a large variety of bandwidths with a maximum of 28
MHz, resulting in a maximum datarate of more than 100 Mbps. One of the
supported modulation schemes is based on OFDM. Per subcarrier, QPSK,
16-QAM or 64-QAM is used. Also multiple error-coding rates are
supporting. Modulation and error-coding rate are adapted depending on the
propagation channel conditions.
1.4 STRUCTURE OF THE BOOK
Because of the growing popularity of OFDM, an increasing number of
engineers are involved in designing OFDM transceivers. Although the
theory of OFDM is well understood, implementation aspects of OFDM
systems are seldom discussed. This book fills this gap and gives a
comprehensive overview of the implementation of OFDM systems. The
book capitalises on the large experience of the authors with the
implementation of OFDM base WLAN systems.
After this first introductory chapter, we discuss the indoor propagation
channel. Knowledge of the propagation properties is essential for several
aspects of the receiver design (e.g. channel estimation methods). As a
consequence, the first task in any wireless system design is to establish an
accurate channel model.
Next, we review the OFDM basics in chapter 3. This will result in a
system model that will serve as a reference in the remainder of the book.
Besides an overview of well-known theory, the chapter contains also some
new material on the introduction of Doppler effects in this system model.
The fourth chapter introduces the WLAN standards. Most material in this
chapter deals with physical and medium access control layers of the
HIPERLAN/2 standard. However, also a comparison with the IEEE 802.11a
and the Japanese HiSWANa standards is included.
8 Chapter 1
The next two chapters are devoted to baseband implementation
challenges. In chapter 5 we present several channel estimation algorithms.
We show that large performance differences exist between the estimation
methods. In chapter 6, we examine the various synchronisation problems and
solutions for OFDM modems.
An OFDM transceiver does not only consist of a baseband circuit but
also needs a radio that translates the signal to and from its carrier frequency.
Several effects of this radio part have a considerable influence on the
performance of the OFDM system. In chapter 7, these effects are analysed in
detail.
Finally, in chapter 8, we put everything together and show some practical
implementations of OFDM systems.
REFERENCES
[1]
[2]
[3]
[4]
[5]
[6]
[7]
[8]
[9]
[10]
[11]
[12]
I. Dorros, ISDN, IEEE Communications Magazine., Vol. 19, March 1981, pp.
16-19.
D.L. Waring, J.W.Lechleider, T.R.Hsing, Digital Subscriber Line Technology
Facilitates a Graceful Transition from Copper to Fiber, IEEE Communications
Magazine, Vol. 29, March 1991, pp. 96-103.
D. Fellows, D. Jones, DOCSIS cable modem technology, IEEE
Communications Magazine, Vol. 39, issue 3, March 2001, pp. 202-209.
J.M.Cioffi, V.Oksman, J.-J.Werner, T. Pollet, P.M.P. Spruyt, J.S. Chow, K.S.
Jacobsen, Very-high-speed digital subscriber lines, IEEE Communications
Magazine, Vol. 37, Issue 4, April 1999, pp. 72-79.
M.Rahnema, Overview of the GSM system and protocol architecture, IEEE
Communications magazine, Vol. 31, Issue 4, April 1993, pp. 92-100.
N.R. Sollenberger, N. Seshadri, R. Cox, The evolution of IS-136 TDMA for
third-generation wireless services, IEEE Personal Communications, Vol. 6,
Issue 3, June 1999, pp. 8-18.
D.N. Knisely, S. Kumar, S. Laha, S. Nanda, Evolution of wireless data
services: IS-95 to cdma2000, IEEE Communications Magazine, Vol. 36, Issue
10, Oct. 1998, pp. 140-149.
T. Ojanper, R. Prasad, WCDMA: Towards IP Mobility and Mobile Internet,
Artech House, 2001.
A. Bria, F. Gessler, O. Queseth, R. Stridh, M. Unbehaun, J. Wu, J. Zander, M.
Flament, Wireless Infrastructures: sceanrios and Research
Challenges, IEEE Personal Communications, Vol. 8, No. 6, December 2001,
pp. 25-31.
ETSI TS 101 475, Broadband Radio Access Networks (BRAN); HIPERLAN
Type 2; Physical (PHY) layer.
R. van Nee, G. Awater, M. Morikura, H. Takanashi, M. Webster, New high-
rate wireless LAN standards, IEEE Communication Magazine, Vol. 32, No.
12, December 1999, pp. 82-88.
B. Chatschik, An overview of the Bluetooth wireless technology, IEEE
Communication Magazine, Vol. 39, Issue 12, December 2001, pp. 86-94.
Introduction 9
[13]
[14]
[15]
[16]
[17]
[18]
[19]
[20]
W.H.W. Tuttlebee, Cordless personal communications, IEEE
Communications Magazine, Vol. 30, Issue 12, Dec. 1992, pp. 42-53.
K. Feher, Wireless Digital Communications: Modulation and Spread Spectrum
Applications, Prentice-Hall, 1995.
IEEE 802.16, http://grouper.ieee.org/groups/802/16/index.html
The Eureka 147 consortium, http://www.eurekadab.org/index.html
Alard M., Lasalle R., Principles of Modulation and Channel Coding for Digital
Broadcasting for Mobile Receivers, EBU Review, August 1987,pp. 168-190.
Le Floch B., Halbert-Lassalle R., Castelain D., Digital Sound Broadcasting to
Mobile Receivers, IEEE Trans. On Consumer Electronics, Vol. 35, No. 3,
August 89.
Sari H., Karam G., Jeanclaude I., Transmission Techniques for Digital
Terrestrial TV Broadcasting, IEEE Communication Magazine, February 1995,
pp. 100-109.
Multimedia Mobile Access Communication (MMAC) Systems,
http://www.arib.or.jp/mmac/e/index.htm
Chapter 2
Understanding the indoor environment
Radio propagation models
Liesbet Van der Perre, Reto Ness, Steven Thoen, Patrick Vandenameele, Marc
Engels
IMEC
2.1 INTRODUCTION
(S)He who does not know the channel, can never be a good radio
engineer, a wise man said [1]. Indeed, a good understanding of the
propagation problem, is a key factor to success in designing wireless
communication systems. Therefore, we dedicate this chapter to radio
propagation modelling, specifically for systems based on OFDM-
transmission.
Traditionally, radio engineers like to dispose over channel models, which
they can use in their system conception. Such models necessarily form a
trade-off between the following major criteria:
1. On the one hand, the channel model should reflect as good as possible the
physical propagation phenomena, in order to serve as a reliable base in
the system research and design.
2. On the other hand, for system simulations and design considerations, one
wants to dispose over a model which is as simple as possible.
3. Finally, in wireless transceiver design, not primarily an accurate model
for one specific geometric situation, but rather a comprehensive set of
channel responses (including typical and worst case situations) is needed.
As such, it is evident that propagation modelling should be performed
keeping in mind the application for which it is intended [2] [4].
Correspondingly to the above criteria, this chapter aims to introduce basic
radio propagation and channel models for OFDMbased systems, without the
12 Chapter 2
objective of providing a complete survey of propagation mechanisms, which
forms a scientific discipline by itself.
The focus in this chapter is on indoor wireless propagation, as this
environment is the main object of recent OFDM-based standards and system
developments. We discuss three major effects:
1. Propagation losses: radio waves travelling through the ether undergo
severe attenuation, as a consequence of what are generally called large-
scale path losses. When doing communication system simulations in
general and analysing a modems performance in particular, the average
receive level is often used as a reference value. It is therefore important
to get an idea of what the typical values are one can expect to find for this
parameter. Section 2.2 discusses the basic theory and practice of
assessing propagation losses.
2. Time dispersion: radio waves often face multiple reflections due to the
presence of all kinds of obstacles (walls, furniture...), leading to
multipath propagation. As a consequence, fading effects are experienced
at the receiver. Focussing on broadband indoor communication, this
fading can be characterised as frequency selective and time invariant.
Section 2.3 introduces fading terminology, applies it for broadband
indoor communication, and presents some typical channel responses
generated by simple ray tracing.
3. Doppler effects: a wireless channel can never be guaranteed to be time-
invariant, as persons and objects in the environment can always move. As
a consequence, Doppler effects also need to be taken into account.
Section 2.4 introduces basic definitions for time varying channels. The
possible disastrous effects on OFDM-transmission are warned for. A new
model for time-variant channel effects in indoor environments is
proposed, where the reflectors in stead of the communicating terminals
are moving.
2.2 PROPAGATION LOSSES
Monitoring the budget is definitely a motto in wireless communication
system design: not only does the severe competition force one to come with
cost-effective solutions, also the link budget asks for careful accountancy.
The link budget is the resulting signal to noise ratio at the receiver for a
given transmit power. A significant part of the link budget is made up by the
propagation loss, which is also generally called large-scale path loss. From
the overall link budget, achievable Quality of Service (QoS) parameters
(such as net data rate and error rate) can be predicted, as elaborated in [13].
The propagation loss can be exactly predicted in a free space situation,
which we introduce as a reference case in paragraph 2.2.1. For severe
Understanding the indoor environment 13
multipath propagation no exact analytical formula is available. Therefore an
experimentally determined expression is proposed in paragraph 2.2.2. It is of
practical use for statistical analyses, provided that appropriate parameters are
available, Some guidelines for finding more accurate predictions in specific
situations are given in paragraph 2.2.3.
The other major term in the link budget is the noise and interference that
is experienced by the receiver. They are discussed in paragraph 2.2.4.
2.2.1 Free space propagation
When James Clerk Maxwell in 1864 described the Laws of
Electrodynamics and formulated the famous equations named after him,
experimental physics were not ready to confirm these phenomena with
practical experiments. In 1887 Heinrich Hertz succeeded in validating
Maxwells ideas by impressive experiments: the proof of propagation of
electromagnetic waves in free space was a fact [11] [12], Consequently
different radio engineering pioneers contributed to the effective realisation of
information transfer by radio propagation pioneers. Little could they foresee
the impact of their work on society more than a century later!
From the basic radio equation, the signal loss in free space can be
calculated as given by following formula:
Where and are respectively the received and transmitted power,
and the gains of the transmitting and the receiving antenna, the
wavelength, and d the link distance.
It is important to remark that, even in a completely free space, the power
decays with the square of the distance. As a simple example, we can assume
isotropic antennas and an operating frequency of 5 GHz. For distances
varying between 10 and 50 meter, we find that the free space loss varies
between 65 dB and 80 dB.
Although the simplicity and exactness of the basic radio equation is very
attractive for a radio engineer designing a system, one should be very aware
of its limited validity. Indeed, the term free space needs to be taken
literally. In practical systems it applies when the Line of Sight (LOS) (see
section 2.3) contribution to the received signal is principally dominant. Such
situations occur when e.g. very directive antennas are used in satellite
communications. Clearly, as OFDM is primarily used because it allows
coping elegantly with multipath propagation, we can not rely on free space
conditions in the given context.
14 Chapter 2
2.2.2 Statistical estimation
Also in environments where multipath propagation is an essential part to
the communication, we need to estimate the path loss, being the attenuation
that an electromagnetic wave experiences when propagating over the air.
The path loss is usually expressed in dB
Where S is the path loss of a path of d meter, and S typically also
depends on the environment E. Clearly, expression (2.2) gives a pure
statistical prediction, and should not be used for determining the precise
signal strength in a specific location.
Following the wish to be able to dispose over equations for the large-
scale signal loss which are similarly simple as in free space, researchers have
tried to find simple analytical expressions which match channel
measurements. A typical example of an approximate expression for the
overall signal loss in an indoor environment is given in following equation
[6]:
Where the parameters a and b depend on the operating frequency, the
geometry of the environment, and on whether there is a LOS component or
not (i.e. Obstructed line of sight or OBS situation). Physically, the parameter
a can be interpreted as the exponent of decay of the received power with the
distance, while and b are curve-fitting parameters.
As a typical example, for an OBS situation at frequencies around 5GHz,
parameters giving a good correspondence to measurements are:
dB, and Obviously, the decay of the received power with
the link distance is even more severe than in free space! For distances
between 10 and 50 meter this gives S between 80 dB and 105 dB. As a
consequence, if one wish to design OFDM-based wireless indoor
communication with a range of some dozens of meters, a serious challenge is
involved to devise receivers that can work at a low SNR.
It is clear that, due to the increased losses by transmission through walls
and reflections, the signal losses in an OBS-position depend much stronger
on the specific geometry and the link distance than in a free space or LOS
situation. From the frequency dependencies, the following conclusions can
be drawn if wireless indoor communication systems below or above 5GHz
are considered:
Understanding the indoor environment 15
Since lower frequencies get less attenuated, they allow to cover a larger
range with a single basestation. OFDM-systems complying with a
standard for the 2.4 GHz range, can benefit from this effect.
For significantly higher frequencies, obstacles behave as isolators.
Consequently, the range at which a signal can be received decreases
drastically. On the other hand, the reuse of frequencies is augmented.
This feature can be exploited advantageously for setting-up high-capacity
pico-cellular networks.
Also for outdoor communication, similar expressions have been found to
provide a good approximation of actually measured losses. The exponent of
decay of the power can vary from 2 (for free space) to 5, depending on the
environment (rural, urban,..).
2.2.3 Deterministic models
For some applications, the information that is output by typical statistical
models for propagation losses is not sufficient to perform system analysis
and simulations. In such cases, a deterministic or also called geometrical
model is often preferred. Thereto, the exact path loss is estimated from the
transmissions and reflections encountered on the path between transmitter
and receiver.
In [7], a rule of thumb is given for the losses by transmission through
walls, dividing walls into three categories with corresponding losses: light
(1.5 dB), medium (5 dB), and heavy (10 dB).
In [8], a more rigorous approach to calculate reflection and transmission
coefficients of walls is given. It is quite evident that these depend on the
thickness and the material of the wall, and the frequency of the
electromagnetic waves. The derivation of exact expressions is however not
straightforward, since the characteristics of materials are often frequency
dependent in a difficult to reveal manner. Recently, freeware also became
available [9], which allows calculating interesting parameters for
deterministic path loss determination.
2.2.4 Noise and interference
Successful communication depends on how good a receiver can retrieve
the information out of the received signals. Even if there is very little
attenuation and distortion on the channel, reception is far from perfect
because of the noise that is inevitably superposed on the signals. The term
noise is used for all undesired signals that add up to the actual information.
For most communication systems, the signal-to-noise ratio is crucial for the
quality of the link.
16 Chapter 2
We distinct noise of natural origin on the one hand, and generated by
humans on the other hand. We call the latter category interference.
2.2.4.1 Natural noise
An important source of natural noise is thermal noise. Thermal noise is
caused by electrons, whose mobility is influenced by temperature. Therefore,
it is physically impossible to build a completely noise-free electrical system,
although one can try to minimise the influence of thermal noise during
system design. Since the thermal movements of electrons are random in
nature, so are the noise signals resulting from them. Thermal noise has a
uniform frequency content, which we call white, and a temperature-
dependent spectral density
in which k is the Boltzmann constant en T the
temperature in Kelvin degrees.
Other sources of natural noise that are relevant for wireless links are
among others atmospheric disturbances and radiation of the sun and other
elements in our milky way and beyond. While we can notice these effects on
outdoor radio channels, for example when listening to the radio during a
thunderstorm, they have no perceptible influence on indoor communication.
2.2.4.2 Interference
Human activity also generates a lot of noise in the ether. A major
contribution comes from other transmitters emitting radiation in the same
frequency band. Spectrum regularisation aims to prevent this kind of
interference. Also, electrical equipment (as well residential as industrial) is
seldom radiation-free.
Recently, OFDM-systems are considered for increasing the data-rate of
wireless systems in the unlicensed 2.4 GHz ISM-band. Strong narrowband
interference, due for instance to the leakage of microwave ovens or
interfering wireless communication systems operating in the same band,
severely hampers the performance of these systems
To simulate two major types of narrowband interference we developed a
flexible complex baseband model for the interference i(t), given by [14]:
With this model, two types of interference where modelled:
Understanding the indoor environment 17
a) Microwave oven emission: The carrier frequency is set to the design
frequency of the magnetron in the baseband ( Hz for a baseband
center frequency of 2.45 GHz). A(t) is a rectangular waveform with a
frequency of 50 Hz to model the switching of the magnetron due to its
power supply. We constructed to match the results of broadband
measurements [17] in the time and frequency domain. The spectrogram
of a typical interfering signal generated by this model is given in Figure
2. 1a.
b) Frequency hopping interference: The amplitude being constant
we apply frequency shift keying, with a continuous phase, to modulate
the carrier using a pseudo-random BPSK sequence with a symbol period
of For we use a pseudo-random hopping sequence of
frequencies spaced by 1 MHz within a bandwidth ranging from10 to 10
MHz. The hopping rate is 1600 Hz, as used in the Bluetooth system.
Figure 2.1b shows the spectrogram of a typical interfering signal
generated by this model.
Analysis has shown that interference mitigation is crucial for systems
operating in the 2.4 GHz band [14]. Fortunately, OFDM enables some nice
solutions to cope with the specific interference in the frequency domain.
2.3 MULTIPATH PROPAGATION
In most practical wireless communication systems, the radio propagation
is far more complex than in a free space situation. In the presence of the
earth, natural obstacles, buildings, furniture, etc., a radio signal travels via
both the direct path and (possibly numerous) other paths from transmitter to
receiver.
As a consequence, the radio channel suffers from multipath conditions,
leading to typical fading phenomena. This section focuses on situations
18 Chapter 2
where the time variance is very slow in comparison to the transmission rate,
and can thus be neglected in the analysis. First the basic mechanisms of
multipath channels are described in 2.3.1. Next, in paragraph 2.3.2, the
terminology for describing a multipath channel is introduced. In paragraph
2.3.3 a simplified ray tracing approach to model multipath channels is
presented. For two typical indoor examples, graphical results are included.
2.3.1 An intuitive understanding
Most real radio channels are established in circumstances that differ
substantially from free space. For example in an indoor environment, radio
waves face multiple reflections due to the presence of all kinds of obstacles
(walls, furniture...). Electromagnetic waves propagating in such an
environment are partially transmitted through and reflected by these
obstacles. As a consequence, waves emitted by the transmitter arrive at the
receiver antenna over multiple paths, which is called multipath propagation.
Figure 3.1 shows a simple multipath situation.
The complete set of propagation paths between transmitter and receiver
forms the multipath channel. Each path can be characterised by three
parameters: delay, attenuation and phase shift. The path delay depends on
the path length and on the speed at which a wave is propagating in the
different media along the path. Attenuation and phase shift can be
subdivided into two different components. One is due to the free space
propagation and depends on the path length. The other one is caused by
reflection and shadowing and depends on the reflection and transmission
coefficients. These coefficients are functions of material properties, such as
relative dielectric constant, permeability, conductivity, thickness, etc.
Understanding the indoor environment 19
The effect of multipath propagation on a radio channel impulse response
depends on the path-length difference(s) relatively to the wavelength.
Consider the exemplary case study of 2 paths, each with their own delay,
attenuation, and phase shift. The paths interfere destructively if they arrive
with a phase difference of 180, which extinguishes the overall received
signal. In case the path length difference is an entire multiple of the
wavelength, the paths add constructively. Resultant, the received signal
strength varies heavily with frequency, place, and in case of changes in the
multipath composition also time. These variations are generally called fading
characteristics.
A distinction is made between Line of sight (LOS) and Obstructed
line of sight (OBS) set-ups. In a LOS set-up, there is a line of sight between
transmitter and receiver. The corresponding path is typically the least
attenuated one and the one with the shortest delay. In an OBS set-up, there is
no line of sight between transmitter and receiver, so that all incoming waves
at the receiver antenna have been reflected or shadowed at least once. This is
the more hostile case, since rarely one particular path dominates like the line
of sight would do.
From a communication point of view, a multipath channel can be
characterised as a producer of echoes. A whole set of echoes with different
amplitudes and phase shifts arrives at the receiver antenna, with each echo
arriving at a different instant. This causes dispersion of the information
signal in time. If no appropriate measures are taken, the different signal
components arriving at the receiver antenna interfere with each other, which
can lead to inter-symbol interference (ISI).
2.3.2 Multipath Terminology
To describe multipath, a extensive set of mathematical terminology has
been established. The most important concepts are introduced in the next
sections.
2.3.2.1 Impulse response
The complex impulse response h(t) of a time-invariant multipath channel
with N paths can be modelled as
20 Chapter 2
Where k is the path index, is the path gain, is the path phase shift
and is the path delay. The above model describes a static channel. For
OFDM-based wireless indoor networks, the multipath parameters can indeed
be assumed to be quasi-static, since they change very slowly with respect to
the considered data rates.
The frequency response H(f) of the channel is the Fourier transform of
the impulse response.
2.3.2.2 Power Delay Profile
The power delay profile (PDP) P(t) is defined as the squared absolute
value of the channel impulse response. It gives the time distribution of the
received signal power from a transmitted over the channel.
The power delay profile represents the relative received power in
function of excess delay with respect to the first received path. Power delay
profiles are found by averaging instantaneous power delay profile
measurements. The PDP of a channel is on the average exponentially
decaying in an indoor RF channel, which can be explained by the path loss
that increases with the length of the path. From the PDP, the instantaneous
frequency response of the channel can be calculated.
2.3.2.3 RMS Delay Spread
The RMS delay spread which can be calculated from the power
delay profile, is most often used to quantify the time dispersion on the
channel [4]. It is given by:
Together with (2.7) this expression can be simplified to
Understanding the indoor environment 21
The value of is commonly used to give a rough indication of the
maximum data rate that can reliably be supported by the channel, when no
special measures, such as equalization, are taken.
The following rule of thumb is often applied for the length of the channel
impulse response
2.3.2.4 Coherence Bandwidth
The auto-correlation function of the channel frequency response is given
by
For the class of channels with an exponential delay profile, the auto-
correlation can be computed as a statistical expectation. For a received signal
with unity local-mean power, we find
Jakes et al. [18] have shown that, for the channel amplitudes,
The coherence
bandwidth gives a measure for the statistical average bandwidth over
which the channel characteristics are correlated. is defined as the value
of for which the auto-correlation function of the channel
frequency response has decreased with 3 dB, or:
22 Chapter 2
So, for the channel with exponential delay spread How
the fading on the radio channel affects the communication over a channel is
determined by the relation between the bandwidth of the information
signal and the coherence bandwidth of the channel. If the channel response
can be considered constant over the information bandwidth the
fading is named flat. On the other hand, frequency selective fading
conditions are encountered when the information bandwidth is significantly
larger than the coherence bandwidth of the channel. Specifically because it
enables elegant equalisation in frequency selective fading, OFDM is often
preferred in these conditions. Therefore, it is a facilitator of broadband
communications in harsh reflective environments.
2.3.3 Ray-Tracing Modelling
Different modelling techniques have been developed in the quest of
characterising multipath channels. The simplified approach that is presented
here is based on the modelling of wave propagation by two-dimensional ray-
tracing algorithms [3]. The ray-tracing model, some simulation examples,
and finally an interpretation of the simulation results will be presented.
2.3.3.1 A simplified approach
A rather simple ray-tracing approach is followed (leading to relatively
fast simulation times), not comprising an accurate characterisation of the
environment. This method has not been designed for precise channel
predictions in specific geometrical situations (e.g. no diffraction effects are
taken into account). Still, the results show to produce typical fading
characteristics that correspond very well with measurements reported in
literature [6], and with channel responses considered in the BRAN-
standardisation [10].
Understanding the indoor environment 23
Figure 2.3 gives a very simple example of how the ray tracing works.
Rays from a starting point to a target point are obtained by finding
the direct path and the paths to all mirror images of the target point
The floor plan of the set-up, including walls and objects, is modeled as a
set of straight lines. Each line can be assigned different reflection and
transmission coefficients. Further input parameters are the positions of the
receiver and the transmitter antenna respectively. Both antennas are
considered isotropic. This setup being given, all possible paths from the
transmitter antenna to the receiver antenna are calculated by a software tool,
and the corresponding path delays, attenuation and phase shifts are stored in
a result file. The computation of a path is aborted, if its attenuation exceeds a
certain threshold, e.g. 30 dB, with respect to the attenuation of the first
received path.
The results of the ray-tracing simulation are used to parameterise the
mathematical channel model given by equation (2.6) in order to calculate the
corresponding impulse response.
Since the channel model is used for baseband simulations, the
computation is performed for the desired centre frequency, i.e. phase shifts
are calculated for the corresponding wavelength. Finally the impulse
response is down-sampled to the desired sample rate using a raised cosine
filter to avoid aliasing.
2.3.3.2 Simulation examples
Figure 2.4 through Figure 2.8 show the results of ray-tracing examples
for two different indoor set-ups. They were generated in the context of the
design of an OFDM-transceiver for 5GHz WLANs.
The first set-up (Figure 2.4) represents a typical home environment,
where in this specific simulation the transmitter and the receiver are located
in the same room, but the direct path between them is obstructed. The second
set-up (Figure 2.6) corresponds to an office-like environment, with the
basestation installed in the corridor and a terminal in one of the offices.
The power delay profiles are given for a bandwidth of 80 MHz and 4
times over-sampling. Gain and phase of the baseband frequency responses
are given for a bandwidth of 80 MHz, and a centre frequency at 5.25 GHz.
The characteristics of the different channels, in terms of average delay
delay spread and coherence bandwidth of the channel are
summarised in Table 2.1.
24 Chapter 2
Understanding the indoor environment 25
26 Chapter 2
2.3.3.3 Interpretation of results
A considerably large number of ray-tracing simulations for home-like
and office-like environments have been carried out. We analysed scenarios
with a single room containing various objects and with different geometries
of multiple rooms.
The following practical conclusions can be drawn from these results:
The Power Delay Profiles on the average show an exponentially decaying
behaviour with increasing delay, while we can also observe typical
clusters of paths. Both these effects correspond to the channel models
that were considered in ETSI-BRAN [10].
For typical indoor environments, the RMS delay spread is of the order of
10 to 50 nsec. (even for large settings). In ETSI-BRAN, some of the
channels correspond to outdoor channels, which explains the larger delay
spreads reported there.
Two main categories of channels can be distinguished: LOS-situations
mostly show a dominant component, the OBS delay profiles are less
regular.
For an environment with numerous reflections (walls and/or objects), the
exact geometry of the building and location of the objects does not
determine the kind of channel responses (dips) you can get in the
environment. By moving transmitter or receiver over a very small
distance (in the order of ) in one specific environment, you can get
extremely different channel responses (going from almost flat to dips up
to 40db!).
The overall scale of the environment has an influence on the channel
response, namely a scaling on the This effect can be calculated
without needing new simulations.
2.4 TIME VARIANT CHANNELS
The indoor propagation channel is often considered as a static
environment, because of the relatively low mobility speed and the easy
analysis according to this assumption. However, following the motto never
trust a wireless channel, the time variance of the channel and its effect on
OFDM transmission should be assessed. The focus is here on WLANs, but if
Understanding the indoor environment 27
OFDM is considered for outdoor and even mobile systems, Doppler effects
may ask for specific correction measures.
2.4.1 Terminology
To describe the time variations a channel, a mathematical model is
needed. The most important terminology is introduced in the next
paragraphs.
2.4.1.1 Coherence Time
The time correlation describes the correlation between two channel
responses at different time instances and is defined as
Generally, as the time between two channel responses increases, the
correlation declines.
The coherence time is defined as the time for which the correlation
decreases by 3 dB.
When the time variation of the channel is considered, a categorisation of
fading channels can be made, relating the data rate to the coherence time of
the channel. If the coherence time is very large compared to the transmitted
signalling period, the channel essentially stays constant during the sampling
period. This is referred to as slow fading. If the signalling period becomes
comparable to the coherence time, the transmission system undergoes fast
fading.
In case of OFDM transmission or more generally systems making use of
a block-based equaliser, a quasi-static approach is only robust if the channel
remains stable during the entire OFDM symbol. The conventional
classification then takes a more meaningful significance if the OFDM-
symbol or block duration is used as a classification criterion, in stead of the
signalling or sampling time.
2.4.1.2 Doppler spectrum
By taking the Fourier transform of the time correlation function, the
Doppler spectrum is obtained:
28 Chapter 2
The Doppler bandwidth is defined as the 3dB bandwidth of the Doppler
spectrum. An interesting relation between the coherence time and the
Doppler frequency is given by:
2.4.2 What is on the move in the indoor environment?
In a typical mobile multipath channel, the Doppler effects are mostly
modelled by the so-called Jakes spectrum [18]. This model is based on the
assumptions that the receiver is moving at velocity v, and the angles of
arrival of the multipath components are uniformly distributed. Defining
the time correlation of the channel is then given by
where stands for the zero-th order Bessel function of the first kind.
The corresponding Jakes spectrum is given by:
This model has also widely been used for WLANs mainly due to its
simplicity, although typically neither transmitter nor receiver is moving in
such scenarios. The approach results however in an extreme over-estimation
of the time variance of such channels. Therefore, a new and more
appropriate model for the time variance of indoor channels is proposed in
[15]. It is based on a stationary transmitter and receiver, but reflectors that
are moving at random speeds. These assumptions reflect the situation where
objects or persons in the surroundings are moving, rather then the
communicating terminals themselves. The resulting time correlation is then
given by:
Understanding the indoor environment 29
where a is the fraction of paths that are time-invariant. Compared to
equation (2.17), the Bessel function is now squared. This is due to the fact
that a movement of a scatterer has double the impact of a movement of the
receiver. Unfortunately, there is no closed form expression for the above
integral. Figure 2.8 shows the time correlation for different instantiations of
the new model on the one hand, and the Jakes model on the other hand, for a
carrier frequency The thick solid line gives the Jakes model.
The worst case for moving reflectors, plotted in dashed line, represents the
time correlation when all scatterers are assumed to move at the maximum
speed We also show the situation in which 90% of the paths is static,
which is a realistic value in indoor environments. This case is given in solid
line. Clearly, the correlation degrades only slowly with time as not all
reflections are dynamic.
The corresponding Doppler spectra for all models are shown in Figure
2.9. The classical Jakes spectrum has a bandwidth of and a very
high probability of high Doppler frequencies. In all other cases where
30 Chapter 2
movement of scatterers is considered, the bandwidth of the fading process is
equal to The latter models give rise to a more peaky spectrum,
matching indoor propagation measurements [19] [20] [21].
2.5 CONCLUSIONS
An exact knowledge of the problem, is often the most crucial step
towards finding a solution. Therefore, when making plans for getting
wireless OFDM systems to work, it is sound practice to start with an
assessment of the wireless transmission channel.
This chapter introduced the basic propagation effects to take into
account. The focus is mainly on the indoor environment. The propagation is
shown to be subject to rich multipath reflection, and slow time variation.
Understanding the indoor environment 31
REFERENCES
[1]
[2]
[3]
[4]
[5]
[6]
[7]
[8]
[9]
Prasad, private communication
L. Van der Perre, Modelling and simulation of the HF channel with the
objective of testing intelligent narrowband and wideband modems. Ph. D.
Thesis K.U.Leuven 1997
P. Vandenameele, L. Van der Perre, M. Engels, SDMA for OFDM-based
WLANs, Kluwer Academic Publishers, 2001
T.S. Rappaport, Wireless Communications, Principles and Practice. Prentice
Hall, 1996
H. Hashemi, The Indoor Radio Propagation Channel, Proceedings of the
IEEE, Vol. 81, No 7, July 1993
G. J. M. Janssen et al., Wideband Indoor Channel Measurements and BER
Analysis of Frequency Selective Multipath Channels at 2.4, 4.75 and 11.5
GHz, IEEE Transactions on Communications, vol. 44, no. 10, p. 1273.
J. Doble, Introduction to Radio Propagation for Fixed and Mobile
Communications, Artech House Publishers, 1996
C.D. Taylor, S.J. Gutierrez, S.L. Langdon, K.L. Murphy, and W.A. Walton,
Measurement of RF Propagation into Concrete Structures over the Frequency
Range 100 MHz to 3 GHz, in Wireless Personal Communications, Advances in
Coverage and Capacity, Kluwer Academic Publishers
http://komatsu.linux.student.kuleuven.ac.be/3dom/, K.U.Leuven software, June
1999
http://www.etsi.org/Bran
P. Simon, Proof, Rhythm of the Saints, 1990
R. Cuyvers, B. Van der Herten, and al., Van tamtam naar virtuele realiteit,
Davidsfonds, 1995
J.C. Proakis, Digital communications, Mc Graw-Hill, 1989
R. Ness, Steven Thoen, Liesbet Van der Perre, Bert Gyselinckx and Marc
Engels, Narrowband interference mitigation in OFDM-based WLANs,
workshop on multicarrier,
S. Thoen, High-speed OFDM based wireless local area networks, PhD thesis
KULeuven, May 2002
J.A.C. Bingham, Multicarrier Modulation for Data Transmission: An Idea
Whose Time has Come, IEEE Comm. Magazine, vol. 28, pp. 5-14, May 1990.
A.M. Saleh et al., A Statistical Model for Indoor Multipath Propagation, IEEE
Selected Areas of Comm., vol. CSA-5, no. 2, pp. 1384-87, July 1991.
W.C. Jakes, Microwave Mobile Communications, IEEE press, 1993
S.J. Howard and K. Pahlavan, Doppler spread measurements of the indoor
radio channels, Electronic Letters, 26(2):107-109, January 1990
H. Hashemi, M. McGuire, and D. Tholl, Measurements and modelling of
temporal variations of the indoor propagation channel, IEEE journal on
Vehicular Technology, 43(3):733-737, August 1994
H. Hashemi A study on temporal variations of the indoor propagation
channel, in PIMRC, pp 127-134, 1994
[10]
[11]
[12]
[13]
[14]
[15]
[16]
[17]
[18]
[19]
[20]
[21]
Chapter 3
The OFDM Principle
Divide et Impera
Reto Ness, Jean-Paul Linnartz, Liesbet Van der Perre, Marc Engels
IMEC
3.1 THE OFDM PRINCIPLE
3.1.1 Multicarrier modulation
In single carrier modulation, data is sent serially over the channel by
modulating one single carrier at a baud rate of R symbols per second. The
data symbol period is then 1/R. In a multipath fading channel, the time
dispersion can be significant compared to the symbol period, which results
in inter symbol interference (ISI). A complex equaliser is then needed to
compensate for the channel distortion.
34
Chapter 3
The basic idea of multicarrier modulation was introduced and patented in
the mid 60's by Chang [1]: the available bandwidth W is divided into a
number of subbands, commonly called subcarriers, each of width
The subdivision of the bandwidth is illustrated in Figure 3.1,
where arrows represent the different subcarriers. Instead of transmitting the
data symbols in a serial way, at a baud rate R, a multicarrier transmitter
partitions the data stream into blocks of data symbols that are transmitted
in parallel by modulating the carriers. The symbol duration for a
multicarrier scheme is
In its most general form (see Figure 3.2), the multicarrier signal can be
written as a set of modulated carriers:
where is the data symbol modulating the subcarrier in the
signalling interval. is the waveform for the subcarrier.
The symbol duration can be made long compared to the maximum excess
delay of the channel (see previous chapter), or by choosing
sufficiently high. At the same time the bandwidth of the subbands can be
made small compared to the coherence bandwidth of the channel
The subbands then experience flat fading, which reduces
equalisation to a single complex multiplication per carrier.
Increasing thus reduces the ISI and simplifies the equaliser into a
single multiplication (remark that the number of multiplications is
The OFDM Principle 35
proportional with but the rate at which they have to be calculated is
reverse proportional with However, the performance in time variant
channels is degraded by long symbols. If the coherence time of the
channel is small compared to the channel frequency response changes
significantly during the transmission of one symbol and a reliable detection
of the transmitted information becomes impossible. As a consequence, the
coherence time of the channel defines an upper bound for the number of
subcarriers. Together with the condition for flat fading within the subbands a
reasonable range for can be derived as
3.1.2 Orthogonal Frequency Division Multiplexing
To assure a high spectral efficiency, the subchannel waveforms must
have overlapping transmit spectra. They need to be orthogonal for enabling
simple separation of these overlapping subchannels at the receiver.
Multicarrier modulations that fulfil these conditions are called orthogonal
frequency division multiplex (OFDM) systems.
A general set of orthogonal waveforms, is given by:
with
Here is the subcarrier frequency and is the lowest
frequency used The spacing between the adjacent subcarriers equals
Since the waveform is restricted to the time
window the intercarrier spacing must also satisfy
The windowing results in a convolution with
otherwise
otherwise
36 Chapter 3
in the frequency domain. As a consequence, the
different subbands overlap (as shown in Figure 3.3).
Although the subchannels overlap, they do not interfere with each other
at Indeed, they are orthogonal, or:
The demodulation is based on this orthogonality of the subcariers and
consists of a bank of matched filters that implement the relation
A schematic view of such a demodulator is shown Figure 3.4. The
implementation of an OFDM system that consists of oscillators in the
transmitter and a bank of matched filters in the receiver is becoming very
complex for a large number of subcarriers. However, as Weinstein and Ebert
pointed out [2], an IDFT and DFT operation can replace the baseband
modulator and the bank of matched filters respectively (if is a power of
two). In addition to being much cheaper, such implementation does not
suffer from the inaccuracies associated with an analogue oscillator bank.
The OFDM Principle 37
3.1.3 Cyclic Prefix
Passing the signal through a time-dispersive channel causes inter symbol
interference (ISI). In an OFDM system, it also makes that the orthogonality
of the subcarriers is lost, resulting in inter carrier interference (ICI).
To overcome these problems, Peled and Ruiz [3] introduced the cyclic
prefix (CP). A cyclic prefix is a copy of the last part of the OFDM symbol
that is prepended to the transmitted symbol (see Figure 3.5) and removed at
the receiver before the demodulation. The cyclic prefix should be at least as
long as the significant part of the impulse response experienced by the
transmitted signal. This way the benefit of the cyclic prefix is twofold. First,
it avoids ISI because it acts as a guard space between successive symbols.
Second, it also converts the linear convolution with the channel impulse
response into a cyclic convolution. As a cyclic convolution in the time
domain translates into a scalar multiplication in the frequency domain, the
subcarriers remain orthogonal and there is no ICI.
The length of the cyclic prefix should be made longer than the
experienced impulse response to avoid ISI and ICI. However, the transmitted
38 Chapter 3
energy increases with the length of the cyclic prefix. The SNR loss due to the
insertion of the CP is given by
where denotes the length of the cyclic prefix and is the
length of the transmitted symbol. Also the the number of symbols per second
that are transmitted per Hz of bandwidth, decreases to In a
digital implementation, is a multiple of the basic sample period
Because of the loss of SNR and efficiency, the cyclic prefix should not be
made longer than strictly necessary. When making equal to the length of
the impulse response, the relative length of the cyclic prefix is typically
small, so that the ISI and ICI-free transmission motivates the small SNR loss.
However, when selecting the length of the cyclic prefix, the following
issues should also be taken into account:
Filter responses may add to the overall impulse response that should be
compensated for by the guard interval.
A part of the guard interval needs to be reserved for synchronisation
margins. Not only is the time acquisition never guaranteed to be perfect,
the effect of a clock offset between transmitter and receiver may still
significantly increase the deviation.
3.2 THE OFDM SYSTEM MODEL
The OFDM Principle 39
In the previous section, we introduced an OFDM system with as
basic ingredients the DFT and IDFT operations and the cyclic prefix. The
discrete-time baseband equivalent model of such an OFDM system is given
Figure 3.6. In the transmitter, the incoming data stream is grouped in blocks
of data symbols. These groups are called OFDM symbols and can be
represented by a vector Next, an IDFT is
performed on each data symbol block, and a cyclic prefix of length is
added. The resulting complex baseband discrete time signal of the
OFDM-symbol can be written as
where n is the discrete time index.
The complete time signal s(n) is given by the concatenation of all
OFDM symbols that are transmitted
In general, the received signal is the sum of a linear convolution with the
discrete channel impulse response h(n) and additive white Gaussian noise
n(n). For this, we implicitly assume that the channel fading is slow enough to
consider it constant during one OFDM symbol. In addition, we assume that
the transmitter and receiver are perfectly synchronised. Based on the fact
that the cyclic prefix is sufficiently long to accommodate the channel
impulse response, or for and we can then write:
In the receiver the incoming sequence r(n) is split into blocks and the
cyclic prefix associated with each block is removed. This results in a vector
with
The received data symbol is obtained by performing a DFT on
this vector. Thus is given by
40 Chapter 3
By substituting r(n) with equation (3.10), (3.11) can be written as
Substituting with equation (3.8), then yields the following result:
where is the sample of the
DFT of . Since n(n) is white Gaussian noise, is also white
Gaussian noise.
Because for all we can let run from 0 to instead
of Additional swapping of the two inner sums and reordering yields
The first part of this expression consists of an IDFT operation nested in a
DFT operation. The inner sum is the sample of the DFT of
or The equation hence translates into
The OFDM Principle 41
This equation demonstrates that the received data symbol on each
subcarrier k equals the data symbol that was transmitted on that
subcarrier, multiplied by the corresponding frequency-domain channel
coefficient in addition to the transformed noise contribution
From the received data symbols the transmitted data symbols can
be estimated using a single tap equaliser followed by a slicer. In the
equaliser, the receiver divides each received data symbol by its
corresponding channel coefficient. The result of this step is a soft estimate
The slicer rounds this soft estimate towards the nearest symbol in the
modulation alphabet, called the hard estimate
For a more compact notation, a matrix equivalent is often used. For a
single OFDM symbol, it equals
where denotes the Hadamard (i.e. element-wise) product, DIAG(H) is
the diagonal matrix with the elements of H,
and
When considering M OFDM symbols, we can define the following
matrix notation:
where and
3.3 WHAT IF THE CHANNEL IS TIME-VARIANT?
The OFDM system model of previous section was derived for a time-
invariant channel. However, as explained in chapter 2, even with fixed
transmitters and receivers, a channel will be (slowly) time-variant. These
time variations erode the orthogonality of the subcarriers and cause ICI or
"FFT leakage." To model such behaviour a more complex system model will
be needed. In this section we derive such a model. Although the mathematics
are more sophisticated, it will appear soon that a relatively simple matrix
channel model remains.
42 Chapter 3
Classic multipath channel models involve a collection of reflected
waves. Each wave has its particular path delay complex-valued path gain
and phase shift
When the antenna is in motion, the amplitudes and path delays of the
individual reflections will remain fairly constant, but the phase shifts
experience a Doppler effect. In fact, for a signal at the k-th subcarrier,
with the maximum Doppler shift
and the angle of arrival. Without any loss of generality, we take
and consider any non-zero initial phase to be taken into account by the phase
of If, for ease of notation we focus on the initial signalling interval
the received joint OFDM signal equals
Note that the time delays are not necessarily an integer multiple of the
sampling period, so here we prefer a continuous-time representation. Next,
we force the above expression into the following form, with a complex
received amplitude at the k-th subcarrier that is time varying,
This is possible if we define the time-varying channel amplitude as [9]
As described in the previous section, the OFDM receiver takes
samples at sample intervals of and performs a DFT. The k-th output
of the DFT is then found as
We observe that
The OFDM Principle 43
Now let's fill in r(t) in this equation. This results in
From here we will work towards a matrix channel model. To this end, we
take a Taylor expansion of the time varying amplitude, namely
Here denotes the q-th derivative of the amplitude with
respect to time at the k-th subcarrier and at instant We extend the
notation of the vector H as follows:
denotes the q-th
derivatives of H. Remark that as defined in the previous section.
Further, we introduce the ICI leakage constants defined as
In fact, these constants describe the signal transfer over the q-th
derivative of the amplitude at subcarrier k to the receive subcarrier.
This allows us to rewrite as follows:
A practical receiver typically removes the effect of a phase shift due to a
time delay, so we simplify the above expression by taking So, the
received signal can be written compactly in discrete frequency domain as,
where the q-th order ICI crosstalk matrix equals
44 Chapter 3
Figure 3.7 depicts the channel and receiver in the discrete frequency
domain. A frame of user symbols is offered to the system. The output
vector after the DFT in the receiver is denoted by In a conventional
system, W represents the equaliser, or automatic gain control per subcarrier.
To adequately receive signals over a mobile channel, W also needs to
compensate for ICI. The figure shows that one can simulate the radio link as
a vector channel without explicitly executing the DFT operation. and
are (correlated) Gaussian random vectors and is a fixed matrix,
which can be implemented using a butterfly structure.
If the Doppler spread is much smaller than the frequency resolution of
the DFT grid we may restrict our analysis to zero and first order
effects In particular, for
For integer this reduces to the Kronecker delta function This is just
a confirmation that subcarriers (with non-fading amplitude) are orthogonal,
resulting in For we see that, if we assume integer the
first-order derivatives leak according to
The OFDM Principle
45
3.4 OFDM RECEIVER PERFORMANCE
It has been shown in the previous sections that in an OFDM system, the
received data symbol transmitted in the signalling interval on the
subcarrier is given by the corresponding transmitted symbol, multiplied with
the channel frequency response sampled at the subcarrier frequency
plus noise.
If we have an ideal linear time-invariant (LTI) frequency non-dispersive
AWGN channel, this translates to a parallel set of AWGN channels, with
equal SNR. As a consequence, the performance will be identical with single
carrier modulation over AWGN, except for the SNR loss due to the cyclic
prefix. As an example, Figure 3.8 shows the BER versus plot for an
OFDM-QPSK system with carriers and various cyclic prefix lengths.
46 Chapter 3
For QPSK, the probability of a symbol error or SER in function of the
effective SNR per bit is given by
In the previous chapter it was shown that for indoor multipath
communication the amplitude spectrum of the channel taps is approximately
Rayleigh distributed. Therefore, we can assume that the effective SNR per
carrier are exponentially distributed according to
The probability of bit error can then be expressed as
Substituting equations (3.31) and (3.32) in this formula results in the
following expression
The resulting SER versus graph is shown in Figure 3.9. It can be
observed that the SER is only slowly decaying with increasing
Intuitively, this can be understood by considering the significant differences
in the signal-to-noise ratios between different carriers. The dips in the
frequency response of typical indoor multipath channels, for instance, can
reach up to 30 dB. These low SNR values cause extremely high bit error
probabilities on the bad carriers which dominate the average bit error rate.
Mobility also has an effect on the SER. Let's for the sake of simplicity
assume that ICI affects the receiver performance in the same manner as the
noise. We use the model of the previous section to estimate the average
signal to interference-plus-noise ratio (SINR) for OFDM with Doppler. The
intercarrier interference power on the carrier can be expressed as:
The OFDM Principle 47
In a Rayleigh channel, the derivatives are zero-mean complex jointly
Gaussian for any k and q. The covariance of and can be expressed
for certain specific cases of the channel model. For instance for a uniform
angle of arrival and an exponential delay profile, we can extend the
correlation function of Chapter 2 into [9],
Roughly speaking with So the ICI
reduces slowly with increasing subcarrier separation. Relatively many
subcarriers make a significant contribution to the ICI
48 Chapter 3
The expected signal-to-noise ratio, to be inserted in equation (3.34), becomes
3.5 CODING: AN ESSENTIAL INGREDIENT
We indicated in the previous section that OFDM has a limited
performance for a frequency selective channel, due to the dominance of
carriers with low SNR. Remark that this is different from conventional
single-carrier systems, where the SER or BER is determined by the average
SNR in the transmission bandwidth. If this bandwidth is large compared to
the coherence bandwidth and if perfect channel equalisation is used, the
performance of a single-carrier system in a frequency selective environment
significantly outperforms plain OFDM. To remedy this problem, any
practical system uses either channel coding or adaptive loading.
In adaptive loading, the modulation order and/or power of every carrier is
adapted according to its SNR. As a consequence, the bad carriers, which
dominate the performance of plain OFDM, are no longer used and a
dramatic improvement of BER performance is experienced. In addition this
benefit comes without reduction in the transmitted datarate. The main
disadvantage of adaptive loading is the need for feedback of channel
estimations, which limit it applicability in fast fading environments. Because
detailed discussion of adaptive loading are beyond the scope of this book, we
refer the user to the relevant literature [4] [5] [6].
The alternative way of improving performance is the use of an error-
coding scheme over the carriers [7] (see Figure 3.10). Various error-coding
methods can be applied on the incoming bit stream: block codes, like Reed-
Solomon codes and convolutional codes are the most common ones. Also a
concatenation of a block coder, an interleaver and a convolutional code is
often used. It has the advantage of mitigating the output burst errors that are
typical for convolutional Viterbi decoders. More recently, also trellis coded
modulation, which operates on symbols in stead of bits, and Turbo codes
have been proposed.
The OFDM Principle
49
The coded bit- or symbol stream is next applied to an interleaver
structure. In its most general form, the interleaver has both a time and
frequency component. The frequency interleaver puts consecutive bits on
uncorrelated carriers, such that they experience independent channel
attenuations. The time interleaver separates consecutive bits over different
OFDM symbols. As a consequence, for a fast fading channel these bits
experience different channel attenuations. However, for slow fading
channels the time interleaver has no effect and can be eliminated.
The effect of block coding on an OFDM system can be illustrated by
means of a simple analysis. Assume that we have an (n,k,t) code, where n is
the number of total symbols per block and k is the number of source
symbols. As a consequence, the code rate is k/n. The number of symbol
errors that can be corrected with the code is t. In the remainder we take the
block size equal to the DFT size or
Based on equation (3.34), the probability of symbol error on a single
carrier in a Rayleigh fading channel can be calculated. Assuming that all
carriers experience independent fading, the probability of having symbol
errors in an OFDM symbol can be easily calculated as:
The resulting coded probability of symbol error is given by:
50 Chapter 3
The result of this expression for various values of t is plotted in Figure
3.11. Remark that the coding overhead had to be taken into account in the
calculation of The SER curves show a much steeper descent, i.e., closer
to the desired waterfall-like shape. With increasing t, the curves shift to the
left of the figure (better performance). For large average the curve
converges to straight line on a log-log plot. The slopes of the curve, or
diversity order, equals -t, which is a dramatic increase with respect to the
uncoded OFDM case This can be explained by the fact that the
frequency diversity has now been exploited.
3.6 SUMMARY
In this chapter we introduced the basic OFDM principle: a data stream is
split into parallel lower rate data streams that are modulated on separate
subcarriers. Practical implementations of OFDM systems use the IDFT
operation for this modulation. Although this parallellization already reduces
the impact of ISI, it can be completely removed by means of a cyclic prefix.
For long, implementing an OFDM scheme was prohibitive complex.
The OFDM Principle 51
Practical interest only appeared in the 90s due to the progress in digital
signal processing and microelectronics [8].
In an AWGN channel, OFDM performs identical to a single carrier link.
In a frequency selective channel, the performance is limited due to the
impact of "bad" carriers. As a consequence, adaptive loading or error coding
are essential ingredients for an OFDM system in such an environment. In a
mobile environment, channel fading causes inter carrier interference, which
leads to an increased amount of symbol errors.
REFERENCES
[1]
[2]
[3]
[4]
[5]
[6]
[7]
[8]
[9]
R.W. Chang, Orthogonal Frequency Division Multiplexing, U.S. Patent
3,488,445, filed 1966, issued Jan. 1970.
S.B. Weinstein and P.M. Ebert, "Data transmission by frequency-division
multiplexing using the discrete Fourier transform", IEEE Trans. on
Communinations, COM-19(5):628-634, October 1971.
A. Peled and A. Ruiz, "Frequency domain data transmission using reduced
computational complexity algorithms", Proc. of the IEEE Int. Conf.
Acoustics, Speech and Signal Processing, Denver, CO, 1980, pp. 964-967.
Liesbet Van der Perre, Steven Thoen, Patrick Vandenameele, Bert
Gyselinckx, Marc Engels., Adaptive loading strategy for a high speed
OFDM-based WLAN, Globecom 98 Syndney, Australia, pp. 1936-1940,
Nov. 1998.
P.S. Chow et al., A Practical Discrete Multitone Transceiver Loading
Algorithm for Data Transmission over Spectrally Shaped Channels, IEEE
Transactions on Communications, vol. 43, pp. 773-775, Apr. 1995.
R. Fischer and J. Huber, A New Loading Algorithm for Discrete
Multitone Transmission, IEEE Proc. Globecom 96, London, England, pp.
724-728, Nov. 1996.
W.Y. Zou and Y. Wu, "COFDM: An Overview", IEEE Trans. on
Broadcasting, 41(l):l-8, March 1995.
J.A.C. Bingham, Multicarrier Modulation for Data Transmission: An Idea
Whose Time has Come, IEEE Communications Magazine, vol. 28, pp. 5-
14, May 1990.
A. Gorokhov and J.P.M.G. Linnartz, Robust OFDM receivers for dispersive
time varying channels: equalisation and channel estimation, ICC 2002,
April 28- May 2, 2002, New York, Session OFDM-2
Chapter 4
When people agree on OFDM
Wireless OFDM standards
Bert Gyselinckx
IMEC
4.1 WLAN STANDARDS
Standards are essential in the market success that WLAN is currently
experiencing. Especially the 802.11 series of standards from the IEEE
(Institute of Electrical and Electronics Engineers) played a key role.
The first generation of the IEEE 802.11 standard was finalized by the end
of 1996. It provides a wireless Ethernet capability at a rate of 1 or 2 Mbps in
the 2.4 GHz ISM (Industrial Scientific Medical) band. The standard supports
various physical layers, e.g. direct sequence spread spectrum (DSSS) and
frequency hopped spread spectrum (FHSS), under a common medium access
control (MAC) protocol. Due to their relative low data rate, the market for
these first generation WLAN products was limited to niche applications
where mobility is an essential feature, like warehouses and industrial
automation.
In 1999, the second generation IEEE 802.11b standard became available.
It increase the datarate for WLAN in the 2.4 GHz ISM band to 5.5 and 11
Mbps. This is achieved by supporting a new physical layer, i.e.
complementary code keying (CCK) DSSS, under the standard IEEE 802.11
MAC layer. Because of its higher datarates, the IEEE 802.11b experienced a
major succes for office WLAN applications.
However, in order to provide high quality streaming video or fast Internet
access even higher datarates are needed. This is especially crucial for
opening up the residential WLAN market. Motivated by these commercial
perspectives and by opening of new licence-exempt spectrum in the 5 GHz
band, third generation WLANs are underway in the U.S., Japan and Europe.
54 Chapter 4
The IEEE is working on the IEEE 802.1la standard [15] for the U.S. The
Multimedia Mobile Access Communication Systems (MMAC) group [14] of
the Japanese Association of Radio Industries and Businesses (ARIB)
establishes the HiSWANa standard. The European Telecommunication
Standards Institute (ETSI) has a workgroup on Broadband Radio Access
Networks (BRAN) that develops the HIPERLAN/2 standard [3]. All three
standards have very similar physical layers based on OFDM with provisions
for link adaptation. By varying the modulation and the coding schemes, the
datarate can be varied from 6Mbit/s to 54Mbit/s. Their MACs, however, are
very different. While IEEE 802.1la builds further on the distributed carrier
sense multiple access with collision avoidance (CSMA/CA) Ethernet
protocol of the previous standards, HIPERLAN/2 is based on a centrally
controlled time sharing network. HiSWANa is the newest of the three
standards and combines aspects of both MACs.
In this chapter we will have a closer look into the OFDM based standards
for WLAN. Our main focus will be on the European HIPERLAN/2 standard.
4.2 HIPERLAN/2
The HIPERLAN/2 standard was developed in the ETSI Project BRAN
(Broadband Radio Access Networks) Error! Reference source not found..
The intention of the HIPERLAN/2 standard is to provide up to 54 Mbps
multimedia communications between different broadband core networks and
portable terminals. As a consequence, the standard comprises mechanisms to
support services that are bounded by specific time delays to achieve an
acceptable Quality of Service (QoS).
To guarantee these QoS requirements, HIPERLAN/2 is based on a
cellular networking topology. An access point that covers a certain
geographical area controls a radio cell. A terminal communicates with other
terminals or with the core network via this access point. This communication
flow matches nicely with a typical business application scenario, where a
portable computer gets services over a fixed corporate network
infrastructure. In a home application scenario, however, the wireless network
is supposed to interconnect consumer devices directly. Therefore, the
HIPERLAN/2 standard also has a direct mode, where mobile terminals,
controlled by the same access point, can directly exchange data.
The HIPERLAN/2 standard defines the physical layer (PHY), the data
link control layer (DLC), and several convergence layers (CL) for both the
access point (AP) as well as the mobile terminal (MT). A schematic drawing
of the HIPERLAN/2 protocol stack in the AP is shown in Figure 4.1. In the
figure, the DLC layer is further detailed with the radio link control (RLC),
medium access control (MAC) and error control (EC) functions. The higher
When people agree on OFDM 55
layer protocols, which are located on top of the CL, are beyond the scope of
the HIPERLAN/2 standard.
The HIPERLAN/2 basic protocol stack at the MT side and its functions
are similar to these of the AP. At the terminal side only a single RLC and
MAC function is needed. The functionality of this MAC and RLC entity
differs slightly from that of the AP, whereas the EC functions are
symmetrical.
The functionality of the PHY, DLC and CL layers is explained in more
detail in the following sections.
4.2.1 Convergence layer (CL)
The HIPERLAN/2 system can be used with a variety of different high
level protocols. This is achieved by a convergence layer (CL) that interfaces
between the high level protocol and the HIPERLAN/2 specific DLC layer.
The standard defines CLs for ATM, IEEE1394 or firewire, UMTS and
Ethernet. The CL has two main functions. The first is to translate the service
requests from the higher layers to services offered by the DLC. The second
is to reformat the different data formats coming from the high layers to data
units with fixed size that can be treated by the DLC and vice versa. The
process that does this is known as segmentation and reassembly (SAR) and
is shown in Figure 4.2. The variable length data blocks coming from the
higher layers, known as service data units (SDUs), are segmented into
chunks of 48 bytes. These 48 bytes are then combined with additional header
56 Chapter 4
information (tag and flag). These new packets, the segmentation and
reassembly protocol data units (SAR PDU) are then sent to the DLC for
further processing.
4.2.2 Data link control layer (DLC)
The DLC layer constitutes the logical link between HIPERLAN/2
devices. The DLC includes functions for medium access and transmission as
well as terminal/user and connection handling, as shown in Figure 4.1. For
this purpose the DLC consists of the following sublayers:
1. Error Control (EC) sublayer.
2. Medium Access Control (MAC) sublayer.
3. Radio Link Control (RLC) sublayer
In the next sections we will describe the functionality of these three
sublayers.
When people agree on OFDM 57
4.2.2.1 Error Control (EC) sublayer
This layer is responsible for detection and recovery from transmission
errors on the radio link. HIPERLAN/2 foresees three different types of error
control modes.
1. Acknowledged mode: in this mode a packet that is not received
successfully and acknowledged is retransmitted. A cyclic redundancy
check (CRC) of length 16 or 24 allows detecting whether the packet is
received correctly.
2. Repetition mode: in this mode the packets are repeated in order to
increase the reliability.
3. Unacknowledged mode: in this mode the packets are directly delivered to
the higher layers. This mode is the least reliable of the three, but has the
lowest latency.
4.2.2.2 MediumAccess Control (MAC) sublayer.
The MAC layer controls the access of information to the physical layer
and therefore the radio link. In HIPERLAN/2 the access point (AP) is in full
control of the structure of the MAC frame. It will determine at which point
in time the different mobile terminals will receive and can send their
information.
The MAC protocol is based on a dynamic time division multiple access /
time division duplex (dynamic TDMA/TDD) scheme. Dynamic means that
the AP can distribute radio resources to uplink and downlink of different
users within one frame depending on the bandwidth needs of the different
users. To see how this can be done we will examine the basic MAC frame
structure shown in Figure 4.3.
Each MAC frame has a duration of 2ms. It comprises transport channels
for broadcast control (BCH), frame control (FCH), access control (ACH),
downlink data (DL), uplink data (UL) and random access (RCH). It also
58 Chapter 4
foresees an optional direct link (DiL) channel that allows direct
communication between mobile terminals. In all cases the allocation of the
resources is performed by the AP. Let us examine these transport channels in
somewhat more detail.
The broadcast channel (BCH) is sent from the access point to all mobile
terminals at the beginning of each MAC frame. It contains channel
information concerning the entire radio cell such as: the AP transmission
power, the expected AP reception power, pointers to and information about
the different other transport channels in the frame. The BCH has a fixed
length of 15 bytes.
The frame channel (FCH) is sent from the access point to all mobile
terminals. It conveys information that describes the structure of the air
interface of the MAC frame. This is done by resource grants (RG) that
define how many channels will be foreseen for uplink, downlink and direct
link and which PHY modes that will be used in each of them.
The access feedback channel (ACH) is sent from the access point to all
mobile terminals. It is used to inform the terminals that have sent a request
during the random access (RCH) period of the previous MAC frame about
the results of their access attempts.
The downlink and the uplink phases convey information to and from
different terminals. This information is sent in long channels (LCH) and
short channels (SCH). The long channels consist out of 54 bytes and contain
the so-called user protocol data units (U-PDUs) which are used to convey
user information. The short channels are 9 bytes long and are used to convey
control information.
Because the BCH, FCH, ACH and RCH are essential for the MAC
operation they are always transmitted in the most robust physical mode, i.e.
BPSK with code rate . The SCH and LCH are transmitted in a mode
determined by the AP that depends on the quality of the channel.
4.2.2.3 Radio Link Control (RLC) sublayer
The RLC sublayer performs the association control function (ACF), the
radio resources control (RRC) and the DLC user connection control
(DUCC).
4.2.2.3.1 The association control function (ACF)
The association control functions primary goal is to associate the MT
with the AP. For this purpose, the AP is periodically transmitting a beacon.
The MT wanting to start a communication waits till it receives this beacon.
If it does not receive the beacon after a certain time, it will send out a
message itself, asking the AP to send the beacon. Upon receipt of the
beacon, the MT will check whether it is allowed to associate with the
When people agree on OFDM 59
network and whether the AP it received the beacon from has the right
convergence layer. If all this is successful, the MT will request a MAC ID
from the AP.
Next, the MT and the AP negotiate the link capability to check things
such as the version of the protocol, the highest modulation, the frequency
bands, and the authentication and encryption algorithms that are supported
by both.
If encryption is negotiated, the MT and the AP will exchange their public
Diffie-Hellman keys in order to calculate the encryption keys. This key is
calculated with the DES or the Triple DES algorithm [6], [7] and is refreshed
regularly in order to guarantee secrecy. The encryption ensures that the
authentication can take place in encrypted mode. If the authentication
succeeds, the MT is allowed to access the network and the association
procedure will continue. Otherwise, the MT shall be rejected and the DLC
connection between MT and AP will be terminated. The MT may also
terminate the access attempt if the AP authentication fails.
After successful association, the MT can request for a dedicated control
channel (DCCH) that it uses to set-up DLC user connections. The MT can
request multiple DLC user connections, each connection having its own
unique support for QoS.
Disassociation terminates the association between a MT and an AP.
There are two types of disassociation: explicit and implicit. The explicit
disassociation is requested by the MT or the AP. The implicit disassociation
is used if the MT and the AP have lost the ability to communicate with each
other for a certain period. In both cases, the AP will release the resources
allocated to the MT.
4.2.2.3.2 The radio resources control (RRC)
The RRC is responsible for the surveillance and the efficient use of the
available radio resources.
The first service to support RRC is handover. A network handover is
carried out when an associated MT moves from one AP to another or when
the link budget of another AP becomes more favorable. In this case, the MT
notifies both the current and the target AP that it will perform handover. The
target AP can then contact the old AP directly through the fixed network in
order to get the information which is required to continue communication
such as the MAC ID and the encryption key. Due to changes in the
communication link, it can occur that the MT looses the connection to its
current AP before it can connect to the new AP. In this case, the MT will
have to set up a communication with the new AP starting from association
on.
A second service to support RRC is dynamic frequency selection (DFS).
DFS assures that HIPERLAN/2 systems make equal use of the available
60 Chapter 4
frequencies (see section 4.2.3.1.7) under the consideration of avoiding
interference of other devices in the same spectrum. This interference may
originate from other HIPERLAN/2 systems in the neighborhood or from
other systems working in the same frequency band. In order to perform DFS,
the AP will collect received signal strength (RSS) measurements of the
entire HIPERLAN/2 frequency band. For this purpose, the AP can make
measurements itself or it can instruct associated MTs to make measurements
and to send them back. Based on these measurements, the AP will determine
to stay at the current frequency or to move to a new frequency. In the latter
case the AP will broadcast a message to all associated MTs to change their
frequencies.
A third service to support RRC is transmission power control (TPC). In
the uplink this means that every MT will transmit at the lowest possible
power which still allows good reception by the AP. For the downlink, the
power control is implementation specific. There are just a few rules that
avoid interoperability problems and some spectrum regulatory requirements.
A fourth service to support RRC is the so-called MT Alive function. This
function is used to check whether an associated MT and an AP can still
communicate with each other. For this purpose, the associated MT
periodically sends out a MT Alive signal. If the AP does not get this message
in the specified period it will explicitly solicit the MT to send this signal. If
the MT fails to do so, the AP it will disassociate the MT and reuse its MAC
ID and other radio resources.
A fifth service to support RRC is power saving. This function allows the
MTs to go to sleep mode in which the power consumption is greatly reduced
because a large part of the receiver can be switched off. The MT can choose
a sleeping time varying from 2 to MAC frames. In sleep mode the MT
will only listen to the broadcast channel with a period determined by the
sleeping time. At this moment, the MT will either wake up because the AP
or the MT has new data to send or the MT will go back to sleep for another
sleeping time period.
4.2.2.3.3 The DLC user connection control (DUCC)
This control function is responsible for setting up, maintaining, re-
negotiating and closing a DLC user connection (DUC) at the DLC layer.
Both the MT and the AP can initiate the set up of the DUCC by a simple
request. This request can either be acknowledged or rejected by the other
side. The moment the DUCC was accepted, actual traffic transmission in the
user plane can start.
When people agree on OFDM 61
4.2.2.4 Mapping of the MAC frame to the PHY frame
The different transport channels created by the MAC are combined in so-
called PDU trains, which are forwarded to the PHY layer. There they are
converted to PHY bursts, as we will see in the PHY operation (see section
4.2.3). HIPERLAN/2 distinguishes between 6 different PDU trains. A couple
of them are described below.
1. Broadcast PDU train. This typically consists out of BCH-FCH-ACH and
is transmitted by the access point at the beginning of each MAC frame.
2. FCH-and-ACH PDU train. This train is only used by an AP that makes
use of multiple antenna sectors. We will not consider this burst further in
this document.
3. Downlink PDU train. This consists of a set of SCHs and LCHs
transmitted from the AP to a MT, as shown in Figure 4.5. Because a MT
can maximally receive one downlink PDU train per MAC frame,
sometimes multiple MAC frames are required to send all data from AP to
MT over several downlink PDU trains.
4. Uplink PDU train with short preamble. This consists of a set of SCHs and
LCHs transmitted from the MT to the AP, as shown in Figure 4.6.
Because a MT can maximally transmit one uplink PDU train per MAC
frame, sometimes multiple MAC frames are required to send all data
from MT to AP over several uplink PDU trains. In addition to the SCHs
and LCHs the MT may also make an access attempt with the RCH.
62 Chapter 4
5. Uplink PDU train with long preamble. This one is identical to the
previous one except for the preamble. The BCH will announce which
preamble has to be used in the uplink train.
6. Direct link PDU train. This PDU train consists of all LCHs and SCHs
belonging to the same pair of source and destination MAC Ids, as shown
in Figure 4.7. A set of SCHs and LCHs is granted for each DLCC by one
RG. All corresponding DLCCs shall be grouped in a single PDU train.
In Table 4.1 an overview can be found of how many OFDM symbols are
needed to transmit the different transport channels depending on the code
rate and the modulation that is being used in the physical layer.
4.2.3 Physical layer (PHY)
For the purpose of elaborating the specification of physical layer
functions, a reference configuration of the transmission chain is used as
When people agree on OFDM 63
shown in Figure 4.8. It should be noted that only the transmission part is
specified. The receiver is specified only via the overall performance
requirements. This allows different manufacturers to develop their own
intellectual property for algorithms and implementations.
The PHY layer of HIPERLAN/2 offers information transfer services to
the DLC of HIPERLAN/2. For this purpose, it provides functions to map the
output of the DLC, the so called DLC PDU trains (see section 4.2.2.4), onto
PHY bursts. These are appropriate for transmitting and receiving
management and user information between an AP and a MT in the
centralized mode or between two MTs in the direct mode. This includes the
following functional entities in the transmitter:
Configuring the transmission bit rate by choosing appropriate PHY mode
based on the link adaptation mechanism, described in section 4.2.3.1.
Scrambling the PDU train content described in section 4.2.3.1.1.
Encoding the scrambled bits according to the forward error correction set
during PHY layer configuration, described in section 4.2.3.1.2.
Interleaving the encoded bits at the transmitter by using the appropriate
interleaving scheme for the selected PHY mode described in section
4.2.3.1.3.
Sub-carrier modulation by mapping the interleaved bits into modulation
constellation points described in section 4.2.3.1.4.
Producing the complex base-band signal by OFDM modulation described
in section 4.2.3.1.5.
Inserting pilot sub-carriers, appending appropriate preamble to the
corresponding PDU train at the transmitter and building the PHY burst,
described in section 4.2.3.1.6.
Performing radio transmission by modulating the radio frequency carrier
with the complex base-band signal at transmitter described in section
4.2.3.1.7.
64 Chapter 4
4.2.3.1 Different PHY modes
The PHY layer of HIPERLAN/2 is based on the Orthogonal Frequency
Division Multiplexing (OFDM) scheme. In a typical indoor communication
scenario, the channel will vary as a result of varying multipath conditions or
interference levels. In order to improve the radio link capability a multi-rate
PHY layer is applied, where the appropriate mode will be selected by a
link adaptation scheme. The data rate can be varied from 6 to 54 Mbps by
using various signal alphabets for modulating the OFDM sub-carriers and by
applying different puncturing patterns to a mother convolutional code.
BPSK, QPSK, 16QAM are used as mandatory modulation formats, whereas
64QAM is optional for both AP and MT. The mode dependent parameters
are listed in Table 4.2.
4.2.3.1.1 Data scrambler
The content of each PDU train ( bits) from the DLC shall be
scrambled with a length-127 scrambler. The scrambler uses the generator
polynomial S (x) that is illustrated in Figure 4.9 and defined by
The same scrambler is used to scramble transmit data and to descramble
receive data. All PDU trains belonging to a MAC frame are transmitted with
the same initial state for scrambling. The initialization sequence depends on
the type of burst that is transmitted. For the details of this mechanism we
refer to the standard document [3].
When people agree on OFDM 65
4.2.3.1.2 FEC Coder
A channel encoder unit encodes the scrambled PDU train of
bits.
The encoder block diagram is shown in Figure 4.10. It consists of four
blocks: code termination, encoding, code rate independent puncturing (P1),
and code rate dependent puncturing (P2).
The code termination, encoding, and puncturing P1 is performed in a
specific way for different types of PDU trains. For the details of this
mechanism we refer to the standard document [3].
66 Chapter 4
The convolutional encoder block diagram is shown in Figure 4.11. It has
a code rate 1/2 with 64 states. The generator polynomials of the mother code
are for the X output and for the Y output. The
encoder is set to zero state before the encoding process.
The P1 puncturing assures that an encoded PDU train fits precisely into
an integer number of OFDM symbols.
The puncturing P2 has as role to provide code rates of 9/16 and 3/4 by
puncturing the output of P1.
4.2.3.1.3 Data interleaving
All encoded data bits are interleaved by a block interleaver with a block
size corresponding to the number of bits in a single OFDM symbol,
The interleaver is defined by a two step permutation. The first ensures that
adjacent coded bits are mapped onto nonadjacent sub-carriers. The second
permutation ensures that adjacent coded bits are mapped alternately onto less
and more significant bits of the constellation to avoid long runs of low
reliability bits.
We denote by the index of the coded bit before the
first permutation; i is the index after the first and before the second
permutation and j is the index after the second permutation, just prior to
modulation mapping. The first permutation is then defined by the rule
where function floor(.) denotes the largest integer not exceeding the
parameter, and mod is the integer modulo operator.
The second permutation is defined by the rule
where the value of s is determined by the number of coded bits per sub-
carrier, according to:
4.2.3.1.4 Signal constellations and mapping
Depending on the PHY mode selected for data transmission, the OFDM
sub-carriers are modulated with BPSK, QPSK, 16QAM or 64QAM. The
When people agree on OFDM 67
interleaved binary serial input data is divided into groups of (1, 2, 4 or
6) bits and converted into complex numbers representing BPSK, QPSK,
16QAM or 64QAM constellation points. The conversion is performed
according to Gray coded constellation mappings.
4.2.3.1.5 OFDM modulation
The stream of complex valued sub-carrier modulation symbols at the
output of the mapper is divided into groups of complex numbers.
Each group is transmitted in an OFDM symbol. All data OFDM symbols
contain data in data carriers and reference information in pilot carriers. For
data there are carriers and for pilots carriers in each symbol. Thus,
each symbol is constituted by a set of carriers and transmitted with a
duration This symbol interval consists of two parts: a useful symbol with
duration and a cyclic prefix with duration The cyclic prefix is a copy
of the last samples of the symbol part sent in front of the symbol part.
The length of the useful symbol part is equal to 64 samples and its
duration is For the cyclic prefix length there are two possible
values in the HIPERLAN/2 system: mandatory 800 ns and optional 400 ns.
The 800 ns guard interval is sufficient to allow good performance in
channels with a delay spread up to 250 ns. The 400 ns guard interval can be
used for communication in small indoor environments.
Numerical values for the OFDM parameters are given in Table 4.3.
The reference signal transmitted in the pilot carriers is defined as and
is generated with the polynomial S(x) used for data scrambling:
This scrambler is initialized at the beginning of each PDU train.
68 Chapter 4
The mapping from data and pilot complex symbols into the sub-carrier
frequencies is shown in Figure 4.12. Here, stands for complex data
symbol i transmitted at OFDM symbol n.
The resulting OFDM symbols are extended with a cyclic prefix and
concatenated to constitute the baseband PDU train, called payload. The
structure of the payload section is illustrated in Figure 4.13. It consists of
variable number of OFDM symbols required to transmit the PDU
train payload.
4.2.3.1.6 PHY burst formatter
The HIPERLAN/2 system distinguishes between five different kinds of
PHY bursts
1. Broadcast burst
2. Downlink burst
3. Uplink burst with short preamble
4. Uplink burst with long preamble
5. Direct link burst (optional)
The PDU trains delivered by the DLC are mapped onto the PHY bursts
depending on the type of link and service that is required (see section
4.2.2.4).
Independently of the burst type, each burst consists of two sections:
preamble and payload. Each burst is started with a preamble section,
which is followed by a payload section, The basic
structure of a PHY burst is illustrated in Figure 4.14.
When people agree on OFDM 69
Each MAC frame start with a broadcast burst that will be used for
automatic gain control and channel estimation, as well as frequency and
frame timing synchronization [13]. Therefore we will discuss the preamble
of the broadcast burst more in detail. The broadcast burst consists of a
preamble of length and a payload section of length
The structure of the broadcast burst preamble is illustrated in
Figure 4.15. It is composed of three sections: Section 1, Section 2 and
Section 3.
Section 1 consists of 5 specific short OFDM symbols that are denoted A
and IA in Figure 4.15. The term "short OFDM symbols" refers to their
length of 16 samples instead of a regular OFDM symbol of 64 samples. The
first 4 short OFDM symbols in section 1 (A, IA, A, IA) constitute a regular
OFDM symbol consisting of 12 loaded sub-carriers
and given by the frequency-domain sequence SA. The last short
symbol in section 1 (IA) is a repetition of the preceding 16 time-domain
samples. SA is defined as
Section 2 consists of 5 specific short OFDM symbols that are denoted B
and IB in figure 12. The first 4 short OFDM symbols in section 2 (B, B, B,
B) constitute a regular OFDM symbol consisting of 12 loaded sub-carriers
( and ) given by the frequency-domain sequence
SB. The last short symbol in section 2 (IB) is a sign-inverted copy of the
preceding short symbol B, i.e. SB is defined as
70 Chapter 4
Section 3 consists of two OFDM symbols (C) of normal length preceded
by a cyclic prefix (CP). All the 52 sub-carriers are in use and they are
modulated by the elements of a frequency-domain sequence SC. The cyclic
prefix CP is a copy of the 32 last samples of the C symbols and is thus
double in length compared to the cyclic prefix of a normal data symbol. SC
equals
Concatenating the above-described preamble with the data payload
forms the broadcast burst. The resulting broadcast burst is illustrated in
Figure 4.16. This figure further also shows the format of the other PHY
bursts.
4.2.3.1.7 Radio transmission
The complex digital data leaving the burst formatter have to be converted
to the analog domain and upconverted to a radio frequency. The nominal
frequencies for HIPERLAN/2 are allocated in two frequency bands. A lower
frequency band from 5150 MHz to 5350 MHz and an upper frequency band
between 5470 MHz and 5725 MHz. The nominal carrier frequency
corresponds to its carrier number, which is defined as:
The nominal carrier frequencies are spaced 20 MHz apart. All
transmissions shall be centered on one of the nominal carrier frequencies.
The center frequencies in Europe are shown in Table 4.4.
When people agree on OFDM 71
72 Chapter 4
For each of the different subcarriers the transmitted signal has to fall
within the spectral mask defined in Figure 4.17.
When people agree on OFDM 73
4.3 DIFFERENCES BETWEEN HIPERLAN/2 AND
IEEE 802.11A
At the same time that the HIPERLAN/2 standard was drafted in Europe,
the IEEE 802.11a standard saw the light in the US. Thanks to a
harmonisation process, the physical layers for the two standards are very
similar [10], [11], [12], [13]. The protocols are however completely
different. 802.11a uses a distributed MAC based on carrier sense multiple
access with collision avoidance (CSMA/CA). HIPERLAN/2 uses a
centralised scheduled MAC. In Table 4.5, a comparison between of the
802.11a and the HIPERLAN/2 standards is provided.
In Japan the Multimedia Mobile Access Communication (MMAC)
Organization [14] is also working on a 5 GHz WLAN standard. Three ad-
hoc sub committees are active in this field. The wireless home-link
committee is investigating a wireless 1394 solution. The 5 GHz Ethernet
workgroup is focussed on indoor PC networks and adopted the IEEE
802.11a standard. The 5GHz high speed wireless access sub committee
looks both in indoor and access networks and supports the HIPERLAN/2
standard. Further harmonisation between the two standards is pursued. A
major complication for the success of these standard in Japan is the fact that
only 100 MHz, from 5.15 to 5.25 GHz, is available. This results in only 4
carrier frequencies.
74 Chapter 4
REFERENCES
[1]
[2]
[3]
[4]
[5]
[6]
[7]
[8]
[9]
[10]
[11]
[12]
[13]
[14]
[15]
http://www.etsi.org/technicalactiv/hiperlan2.htm#Overview/, overview of ETSI
HIPERLAN/2.
HIPERLAN/2 Global Forum, http://www.hiperlan2.com/web/.
ETSI TS 101 475, Broadband Radio Access Networks (BRAN); HIPERLAN
Type 2; Physical (PHY) layer.
ETSI TS 101 761-1, Broadband Radio Access Networks (BRAN); HIPERLAN
Type 2; Data Link Control (DLC) layer, Part 1: Basic Data Transport Functions.
ETSI TS 101 761-2, Broadband Radio Access Networks (BRAN); HIPERLAN
Type 2; Data Link Control (DLC) layer, Part 2: Radio Link Control (RLC)
sublayer.
US National Bureau of Standards, Data Encryption Standard, Federal
Information Processing Standard (FIPS) Publication 46-2, December 1993.
US National Bureau of Standards, Guidelines for Implementing and Using the
Data Encryption Standard, Federal Information Processing Standard (FIPS)
Publication 74, April 1981, http://www.itl.nist.gov/div987/pubs/fip74htm.
ETSI TS 101 493-1, Broadband Radio Access Networks (BRAN); HIPERLAN
Type 2; Packet based Convergence Layer; Part 1: Common Part.
ETSI TS 101 493-2, Broadband Radio Access Networks (BRAN); HIPERLAN
Type 2; Packet based Convergence Layer; Part 2: Ethernet Service Specific
Convergence Sublayer (SSCS).
Martin Johnsson, HIPERLAN/2 The Broadband Radio Transmission
Technology Operating in the 5 GHz Frequency Band, version 1, HIPERLAN/2
global forum.
Richard van Nee, A new OFDM standard for high rate wireless LAN in the
5GHz band, Proceedings Vehicular Technology Conference, Volume 1, pp.
258-262, September 19-22, 1999.
Neeli R. Prasad and Harold Teunissen, A state-of-the-art of HIPERLAN/2,
Proceedings Vehicular Technology Conference, Volume 5, pp. 2661-2666,
September 19-22, 1999.
Jamshid Khun-Jush, Peter Schramm, Udo Wachsmann, and Fabian Wenger,
Structure and Performance of the HIPERLAN/2 Physical Layer, Proceedings
Vehicular Technology Conference, Volume 5, pp. 2667-2671, September 19-
22, 1999.
Multimedia Mobile Access Communication (MMAC) Systems,
http://www.arib.or.jp/mmac/e/index.htm
IEEE Std 802.11a, Wireless LAN medium access control (MAC) and physical
layer (PHY) specifications: High-speed physical layer in the 5GHz band,
September 1999.
Chapter 5
Beating the wireless channel
Channel estimation and equalisation
Luc Deneire
IMEC
5.1 INTRODUCTION
To achieve high data rates with good performance in current OFDM
systems for Wireless Local Area Networks, we use coherent detection. The
coherent detector relies on the knowledge of phase and amplitude variations
that are present on each (flat fading) subcarrier, i.e. on the knowledge of the
channel.
Channel estimation can be done in various ways: with or without the help
of a parametric model, with the use of frequency and/or time correlation
properties of the channel, blind or training based, adaptive or not.
Non parametric estimation determines the quantities of interest without
relying on a specific channel model, whereas parametric estimation relies on
a specific channel model, determines the parameters of this model and infers
the quantities of interest (for example the frequency response). Parametric
channel estimation usually offers better performance, as the number of
quantities to estimate is smaller, but potentially suffer from model mismatch
problems.
Time and frequency correlations are specific properties of the wireless
channel. Straight-forward non-parametric estimators do not take this
property into account, whereas more advanced estimators explicitly or
implicitly take profit of it.
Training-based estimation techniques are common in most
communication systems, where the sender emits some known signal, to
achieve synchronisation and channel estimation (or equaliser training). Blind
estimation on the other hand only relies on the properties of the signals (their
76 Chapter 5
statistical properties, like cyclo-stationarity or their deterministic properties,
like their so-called Finite Alphabet property or constant amplitude property
for GSM). Although blind estimation is seldom used in practical OFDM
systems, we provide some references to it [15][16][17][18].
Adaptive channel estimation is needed whenever the channel is varying
rapidly in time. Most channel estimators are derived in the non-adaptive
frame (one usually talks about an acquisition phase) and further extended to
the adaptive case (by merely extending the block algorithm or by performing
specific parameter tracking).
For the wireless OFDM channels, this chapter provides an overview of
the major estimation techniques, along with a discussion on their
characteristics in terms of performance and complexity.
5.2 CHANNEL MODELS AND CHARACTERISTICS
This section recapitulates the system model of chapter 3 for wireless
OFDM that is valid when the cyclic prefix condition (i.e. the channel length
is shorter than the cyclic prefix) holds.
5.2.1.1 Notations
To ease mathematical derivations, this chapter relies on a vector/matrix
description of the signals. The following notations apply: normal letters
represent scalar quantities, boldface letters represent vectors and boldface
capitals are matrices. Slanted (respectively roman) letters indicate time
(respectively frequency) domain quantities. and respectively mean
transpose, conjugate transpose and Moore-Penrose pseudo-inverse of X. If X
is full column rank, then is the orthogonal projection
onto the space spanned by the columns of X.
5.2.1.2 Transmission model and training set-up
OFDM modulation consists in multiplexing QAM data symbols over a
large number of orthogonal carriers. To this end, the QAM symbols of an
OFDM symbol are passed through an Inverse Fast Fourier Transform
(IFFT). In the presence of a time dispersive channel, a Cyclic Prefix (CP) is
prepended to each OFDM symbol to preserve orthogonality between carriers
and eliminate Inter Symbol Interference (ISI).
We consider a single user / single channel communication set-up (see
Figure 5.1), with OFDM modulation, described by
Beating the wireless channel 77
where denotes the Hadamard (i.e. element-wise) product of the
columns of X with H. For a single OFDM symbol:
An OFDM symbol is written as where
denotes the number of carriers and m is a time (i.e. OFDM symbol) index.
The latter is often omitted for clarity. After performing an IFFT and inserting
a cyclic prefix of samples, the transmitted signal is transformed into
For a channel response where the
expression is the FFT of the channel response.
Previous equations further take the additive (possibly coloured) Gaussian
noise into account. Next, equalisation is a complex division on each carrier.
Another classical view of this peculiar channel model is that the OFDM
channel can be viewed as a set of parallel Gaussian channels (a complex
gain followed by an Additive White Gaussian Noise), like sketched in
Figure 5.2.
Two types of training, Pilot Symbol Assisted Modulation (PSAM) and
spectral shaping systems are considered. In classical training based
estimation, all components of x are known. Spectral based systems use a
minor modification of the classical training, zeroing a small number of
carriers (named zero carriers) at the edges and in the middle of the utilised
band. PSAM on the other hand bases it's channel estimation on a small
fraction of the carriers, usually evenly spaced on the whole band, and
possibly on varying positions from one OFDM symbol to the next.
received vector is, after prefix removal and FFT, In this
78 Chapter 5
5.2.1.3 Non sample spaced channel, time synchronisation and
channel estimation performance.
The above channel model assumes sample spaced channels. A sample
spaced channel has all delayed impulses of its channel impulse response at
integer multiples of the system sampling interval. Hence, the continuous
Fourier transform of the channel impulse response is a channel frequency
response with non-zero values at multiples of the systems sampling rate.
Due to this particular frequency response, and for the usual case of a sample
rate which is (possibly a multiple of) the symbol rate, the samples of the
channel frequency response coincide exactly with the DFT of the channel
impulse response.
In the non-sample-spaced channel, the channel is actually resampled in
the receiver sampling process. As a consequence, there is no exact
correspondence between the channel frequency response and the DFT of the
sampled channel impulse response. Actually, the resampling process results
in an extension of the equivalent sample-spaced channel impulse response
(sketched on the right part of Figure 5.3). This leads to the need for a longer
guard interval to ease synchronisation. It can also cause performance loss of
the channel estimator, if it is based on a limited channel length assumption.
Beating the wireless channel 79
Furthermore, the Cyclic Prefix Condition also relies on a correct frame
(timing) synchronisation. In the case of early synchronisation
80 Chapter 5
(synchronisation tick is given earlier than should be), the effect is simply that
the measured channel begins with some zeros, and hence there is some
additional guard interval needed to fulfil the Cyclic Prefix Condition. In the
late synchronisation case, the Cyclic Prefix Condition is violated. Hence, in
the system design, the maximum synchronisation error should be specified
and taken into account in the length of the Cyclic Prefix. The effects of late
and early synchronisation are illustrated in Figure 5.4.
5.3 ONE-DIMENSIONAL CHANNEL ESTIMATORS
The structure of OFDM signalling allows a channel estimator to use both
time and frequency correlation. Such a two-dimensional estimator structure
is generally too complex for a practical implementation. To reduce the
complexity, separating the use of time and frequency correlation has been
proposed [1], still with prohibitive complexity.
To pave the way towards low complexity estimators, we will only use the
frequency correlation of the channel in the estimation. Two different types of
block-oriented channel estimators for OFDM are discussed. The first one
relies on a linear minimum mean-squared error (LMMSE) estimator using
only frequency correlation and on low-rank approximation theory to achieve
low complexity. This method was proposed by Edfors et al. [2]. The second
estimator relies on the sample-spaced channel model and proposes a
Maximum Likelihood estimator in this framework. Apart from optimality
(under the channel model hypothesis), this method, somewhat surprisingly,
leads to a low complexity implementation and was proposed by Deneire et
al. [3].
Next, we discuss a third estimator that goes a step further in exploiting
the channel model. It relies on the non sample-spaced multipath channel
model, employing the ESPRIT (estimation of signal parameters by rotational
invariance techniques) method to do the initial multipath time delays
acquisition and using an interpath interference cancellation delay locked
loop to track the channel multipath time delays. This estimator was proposed
by B. Yang et al. [10].
As a reference for these estimators we first introduce the straightforward
Least Squares (LS) estimator.
5.3.1.1 The LS estimator
The first and simplest channel estimator one can imagine consists simply
in dividing the received signal by the symbols that have been actually sent
(and that are supposed to be known). This estimator is usually known as the
Beating the wireless channel 81
Least Squares estimator, and can be written as (where the division sign
means element-wise division of y by x):
The main advantage of this estimator is its simplicity: one division per
carrier. The main disadvantage is it's poor performance, due to the use of an
oversimplified channel model. Indeed, the frequency and time correlation of
the channel are not taken into account in the LS estimator, as it is based on
the parallel Gaussian channel model sketched in Figure 5.2. The frequency
correlation, closely linked to the short channel impulse response of the
channel, can be used both in the non-sample spaced channel model (leading
to LMMSE and parametric multipath-based estimators) and in the sample
spaced channel model (leading to the Maximum Likelihood estimator). The
time correlation (linked to the slow variation of the channel), can also be
used in the same frames, although it is usually not used as such, due to the
delay that would be introduced by needing several OFDM symbols before
estimation (and hence detection). Time correlation can be implicitly used by
decision-feedback mechanisms.
5.3.1.2 The LMMSE estimator
The Linear Minimum Mean Squared Error channel estimator tries to
minimise the mean squared error between the actual and estimated channels,
obtained by a linear transformation applied to The standard estimation
theory tell us that this estimator is :
where is the correlation matrix of the channel, is
the noise power, DIAG(x) is the diagonal matrix with elements equal to the
vector x taken in argument and CONJ(x) is the conjugate of it's argument x.
The LMMSE estimator proposed is relying on a complex matrix
multiplication, which implies a very high complexity compared to the two
DFTs and divisions needed for the normal OFDM modem.
The low complexity approximate LMMSE estimator relies on the fact
that the channel correlation matrix is nearly rank deficient, due it's
frequency correlation. Hence, one can apply rank reduction and only use the
most significant part of
Optimal rank reduction is achieved by using the singular value
decomposition (SVD) [5]. The SVD of the channel correlation matrix can
82 Chapter 5
be written as where is a diagonal matrix with the
singular values on it's diagonal and U is the unitary matrix formed by the
singular vectors. It can then be shown [3] that the best rank-p estimator of
the LMMSE estimator is given by:
where is a rank-p diagonal matrix whose p first elements are equal to
and the last elements are zeros. Factor is a
constellation dependent constant.
Based on this estimator, one can reduce the complexity from complex
operations to operations. Hence, the complexity decrease is a function
of the frequency correlation of the channel. Further refinements in the
complexity analysis are given in the following section, devoted to the ML
estimator.
5.3.1.3 The Maximum Likelihood OFDM channel estimator
The frequency correlation implicitly used by the low complexity MMSE
estimator is linked to the finite delay spread of the channel. This has been
recognised by Rayleigh and Jones [6], who estimate the channel from a
subset of the carriers, but restrict them to regularly spaced pilots (in
frequency).
Based on the sample-spaced deterministic model of the channel, we
derive the associated Maximum Likelihood estimator, show that it can be
interpreted as a transformation from frequency domain to time domain and
back to frequency (like for the LMMSE). The actual estimation is done in
the time domain, where the number of parameters (i.e. the channel length) is
small. The estimator is obtained by minimising a quadratic criterion, which,
combined with the small number of parameters, leads to a low complexity
algorithm. As such, we have obtained an exact low complexity solution and
extended it to Pilot Symbol Assisted Modulation (PSAM).
Beating the wireless channel 83
5.3.1.3.1 Reduced order model
Since the time domain channel h has a finite length (in a well-designed
OFDM system smaller than the prefix length) these parallel channels feature
correlated attenuations. Considering, without loss of generality, that x equals
the received signal can be written as :
where F is a FFT matrix. y is a Gaussian random variable with
mean and covariance matrix However, the signal part of y is
contained only in the space spanned by its mean. Separating the signal
subspace from the noise only subspace, the received signal can be
rewritten as (with partitioning of the F matrix):
Relying on this, the reduced space signal is defined as
where v is a zero mean Gaussian noise with covariance matrix
If then where denotes an identity
matrix of size The ML estimator is then given by [4]:
where denotes the orthogonal projection on the column-space of
As the channel estimator is the cascade of an IFFT,
a weighting matrix and an FFT. This is equivalent to going from the
frequency domain to the time domain, force the time channel estimator to be
of length ( denoting the estimated channel length) and going back to
the frequency domain.
5.3.1.3.2 Extensions to PSAM and spectral shaping systems
The above derivation assumes that all carriers are present. However, in
the case of Pilot Symbol Assisted Modulation and spectral shaping systems,
not all symbols in x are known, and only a subset of measured carriers
84 Chapter 5
can be used. Only this part of the signal (noted ) will be used and equation
(5.9) becomes
where measured pilots have been grouped together and defined
accordingly.
The ML estimator for spectral shaping systems is (only
the measured carriers are estimated, as they are the only ones carrying data)
and, for PSAM, it is the whole channel is estimated).
For these estimators a similar time-frequency interpretation is possible,
with the following modifications :
The initial IFFT is partial, as only part of the carriers are measured.
The non-trivial part of the channel impulse response is weighted by
5.3.1.3.3 Combination of PSAM and Decision-Feedback (DF)
The classical ML solution can be applied to a combination of PSAM and
decision-feedback. Indeed, suppose we use the pilot symbols along with
decisions taken on the other carriers, then remains valid, with a
given which leads to Hence, if the designer can afford
the increment in complexity, combination of PSAM and decision-feedback
is desirable. Indeed, Figure 5.8 shows that a difference in performance of 2-
3 dB can be expected between an all-pilot system (which is equivalent to
combined PSAM/DF if decision errors are neglected) and a PSAM system
with 8 pilot carriers.
5.3.1.3.4 Complexity
The complexity of the ML estimator is significantly lower than the
complexity of the LMMSE estimator, both for spectral shaping and PSAM
systems. This low complexity relies on the time-frequency interpretation and
on the partial (I)FFTs.
Spectral shaping systems
By construction, is a low rank matrix (of rank ). Taking its
hermiticity into account, it can be written as where V is a
matrix of size that can be precomputed. Hence, the complexity for
computing the ML estimator is reduced to complex
Beating the wireless channel 85
multiplications. The complexity reduction for this ML and for the LMMSE
estimator are illustrated below.
Further complexity reduction can be obtained by using the time-
frequency interpretation. Indeed, the projection operation can be expressed
by the cascade of two partial FFTs, weighted by a matrix (if all
carriers are used as pilots, it is an identity matrix). With a radix-4
implementation of the FFT, the complete estimator would require
complex multiplications. Furthermore, some additional
complexity gain can be achieved by using FFT pruning or transform
decomposition [7]. Such techniques lead to a significant gain for the Fourier
Transforms. However, the last term due to the weighting matrix
remains unchanged.
PSAM
When using Pilot Symbol Assisted Modulation, a comb spectrum (Figure
5.6) has to be measured, and only the teeth of this comb are used for the
FFTs. This particular case has been studied by He and Torkelson [8]. In this
case, the DFT can be computed with complex
multiplications, which represents a large gain when the number of carriers is
large.
86 Chapter 5
Figure 5.7 shows complexity evaluations of the four algorithmic
approaches:
the SVD-based approach;
the frequency-time approach with plain FFTs ;
the frequency-time approach with FFT pruning like Sorensen in [7];
the frequency-time approach with FFT optimised for a comb
spectrum (He and Torkelson [8]);
Note that the complexity gains are larger for a large number of carriers.
The complexity for FFT-based solutions is much lower than for the SVD-
based approach, both for spectral shaping and PSAM systems. Futhermore,
simulations show that the ML algorithm can work with a significantly
smaller than the LMMSE, which results in a still larger gain than appears
in Figure 5.7.
For a relatively large number of pilot carriers, the main contribution to
the complexity is due to the weighting matrix (see the curves in Figure
5.7). However, for pure PSAM with regularly spaced pilot carriers, it can
easily be shown that the weighting matrix is proportional to the
identity matrix, and complexity is even lower. This special case of our
algorithm is the frequency correlation part of the algorithm developed by
Rayleigh and Jones [6].
Beating the wireless channel 87
5.3.1.3.5 Simulation results
To evaluate the performances of the ML estimator, and compare it with
the LMMSE algorithm, we simulated a spectral shaping system and a
PSAM-based system in an indoor radio channel.
An 64-carriers OFDM scheme, with zero carriers as in HIPERLAN/2 and
a 256-carriers PSAM system are simulated. The data rate is 20
Msamples/second over the air (i.e. including the cyclic prefix) with a carrier
frequency of 5.6 GHz. The simulation are based on a collection of 120
indoor office-like channels obtained by ray-tracing, with channel lenghts in
the order of 4 to 6 taps.
For the spectral shaping system, the Bit Error Rate (BER) is simulated for
both LMMSE and ML estimators and for ranging from 4 to 16. BER
based on exact channel knowledge and raw measurements are evaluated for
comparisons. Simulation results (Figure 5.8) clearly show that the LMMSE
suffers from a threshold effect at high SNR, as reported in [3]. To obtain
similar performances for both algorithms, must be 2 to 4 times larger for
LMMSE than for ML. For the PSAM-based system, similar conclusions
hold.
88 Chapter 5
5.3.1.4 A Parametric approach based on ESPRIT.
The previous method relies on a very simple parametric model of the
channel, namely that the channel has a small number of multipath that are
located (in time) at the OFDM system sampling instants. In a real system
however, the location of the multipath will not coincide with the system
sampling instants. This leads to a parametric multipath channel model. When
the channel correlation matrix is constructed based on this parametric
channel model, the signal subspace dimension of the correlation matrix can
be reduced further than for the ML estimator. Accordingly, the channel
estimator performance can be improved. Moreover, the high-speed data
transmission in wireless communications potentially results in a sparse
multipath fading channel, this sparsity can be used to lower the complexity
of the estimator.
B. Yang [10] proposed an improved channel estimation method for
OFDM transmission over the sparse multipath fading channels using pilot
subcarriers. The channel estimator is derived to estimate the parameters of
the channel model, which include the time delays, gains, and phases of the
paths. The number of paths is determined by an information theoretic
criterion (the Minimum Description Length or MDL criterion). Then the
initial multipath time delays are determined by Estimation of Signal
Parameters by Rotational In variance techniques (ESPRIT) [11].
Furthermore, to be able to track the (slowly) time-varying channel, they
propose an interpath interference cancellation (IPIC) delay locked loop
(DLL) to track the channel multipath time delays and rely on a MMSE
approach to estimate the frequency response of the channel.
5.3.1.4.1 System assumptions
The OFDM system under consideration is a PSAM system, with evenly
spaced pilot carriers, at fixed positions and repeated at each OFDM symbol
(generalisations to other pilot patterns are possible). The pilot pattern is
chosen so that the sampling rates (in frequency and time domain) allow the
estimation of the channel (sampling rates will be function of the maximum
delay spread and maximum Doppler spread).
5.3.1.4.2 The Acquisition Phase
The first step consists in determining the number of multiple paths
present in the channel. To this end, the Minimum Description Length
method is used. It tries to minimise a criterion which is a function of the
channel (basically via a log likelihood function) and which is penalised by
the number of parameters to be estimated (in order to "balance" between the
log likelihood, which is a monotonous function, and the number of
parameters). Without going into the details of the derivations (see [10]), the
Beating the wireless channel 89
method relies on the logarithm of the geometric mean of the singular values
of the channel correlation matrix. This is already an order of complexity
higher than previous methods (here the SVD has to be computed online,
unlike the two other methods).
Initial multipath time delays are estimated by the ESPRIT [11]
method. This method relies on the eigendecomposition of a matrix which is
formed with the L (L being the number of multipaths estimated here above)
eigenvectors associated with the largest eigenvalues of the channel
correlation matrix.
5.3.1.4.3 Tracking phase
As the ESPRIT method is computationally complex, and as the channel is
slowly varying, the time delays can be tracked by a classical Delay Locked
Loop (DLL). However, much like in W-CDMA systems, Inter Path
Interference (IPI) dominates the DLL performance. Hence, an IPI
Cancelling method is needed.
The main idea of IPIC is, based on the knowledge of the channel at the
previous symbol time, to subtract the IPI from the received signal. Indeed,
the received signal can be written as:
Hence, to estimate the delay of path one can use the cleaned-up
received signal:
This signal is then given to the "classic" DLL.
Once the delay parameters are known, the channel estimation phase relies
on an adapted version of the LMMSE estimator, with the additional benefit
that, through the knowledge of delay parameter, the signal subspace
dimension of the correlation matrix is known. This knowledge enables low-
complexity channel estimation with no performance penalty (like in
approximate LMMSE, due to the approximation, or in the ML, due to the
more simple channel model).
90 Chapter 5
5.3.1.4.4 Simulation results
The authors considered a 1024 carrier OFDM system, with 16 QAM
modulation on the 901 used carriers. The system occupies 5 MHz in the 2.4
Ghz frequency band. The guard interval consists of 64 samples and there are
32 evenly space pilot carriers (in fact 29, due to the 124 zero carriers that
perform spectral shaping). The sample period is (hence the symbol
duration is 0.205 ms). The channel is the "Vehicular A" channel specified by
the ETSI for the evaluation of UMTS, consisting of 6 paths with a maximum
delay of (i.e. about 12 sample periods) and the maximum Doppler
frequency is set to be 100 Hz.
The most important simulation results are that, in this particular
scenario, the proposed estimator performs about 4 dB better than the
LMMSE estimator in a 3 paths channel, and this improvement goes up when
more channels are present.
5.4 TWO-DIMENSIONAL CHANNEL ESTIMATORS.
Previous methods only take advantage of the frequency correlation of the
channel. But, as the channel is slowly varying, one can also benefit of the
rather strong time correlation. The aforementioned estimators can be
extended in the time direction. After a brief discussion on the pilot patterns
that can be used in 2-D channel estimation, we will briefly point out the most
relevant methods for the wireless channel, again stressing the complexity
issues.
5.4.1.1 Pilot patterns
When using pilot tones to perform 1-D channel estimation, the former
methods use evenly spaced pilots. This choice can be theoretically justified
by comparing the MMSE of the estimator for different set of pilots, as is
pointed out by Negi and Cioffi in [12]. They also show that, from a point of
view of performance, properly chosen pilot grids for the 2-D case could
yield some advantage. In the case of 2-D channel estimation, the most
natural choice is to use a rectangular time-frequency grid, like sketched in
Figure 5.9, although other choices, like the triangular one in the same
figure, are also possible. This particular issue has been tackled by Garcia et
al in [13], where they compare the BER for different patterns, assuming a 2-
D Wiener filtering and the same number of pilots. They come to the
conclusion that the hexagonal pilot pattern provides better performance than
rectangular pilot patters and triangular pilot patterns.
Beating the wireless channel 91
5.4.1.2 The 2-D estimators.
The best 2-D linear estimator is obtained by 2-D Wiener filtering.
Stacking the Least-Squares estimates of the channel at the pilot location in a
vector the LMMSE estimator can be written as:
where is the cross-correlation matrix between the LS estimator
and the LMMSE estimator and is the autocorrelation matrix of the
92 Chapter 5
LS estimator. This estimator, however, has a high computational
complexity. To lower this complexity, separable filters have been applied
instead of a 2D finite impulse response filter. Using this technique, the
estimation is first performed in the frequency direction with a 1-D filter and
then in the time direction. Similar low-complexity techniques as for the 1-D
estimators can then be applied and are analysed in [14].
These estimators are relying on prior knowledge of some channel
parameters (SNR, Doppler Frequency, etc.) and appear not to be robust
against Doppler spread. To alleviate these shortcomings, Geoffrey Li [9]
proposes a robust 2-D estimator. This estimator is based on the observation
that the LMSSE filter can be shown to be a 2-D FFT, a (small) 2-D filter and
a 2-D IFFT. This cascade accounts for the lower complexity of the
estimator, while the increased robustness is due to the fact that the reduced
number of parameters is less depending on the Doppler frequency and on the
delays than the original set of parameters. Li reports a dramatic performance
improvement for a Doppler frequency of 200 Hz, compared to a classical
Decision-Directed channel estimator.
REFERENCES
[1]
[2]
[3]
[4]
[5]
[6]
[7]
[8]
[9]
P. Hoher, TCM on frequency-selective land-mobile fading channels, in Proc.
Tirrenia Int. Workshop Digital Communications, Tirrenia, Italy, Sept. 1991, pp.
317328.
O. Edfors, M. Sandell, J.J. van de Beek, S. K. Wilson, and P. O.
Borjesson.``OFDM Channel Estimation by Singular Value
Decomposition,".IEEE Trans. on Communications, 46(7):931--939, July 1998.
Deneire, L.; Vandenameele, P.; van der Perre, L.; Gyselinckx, B.; Engels, M.
"A low complexity ML channel estimator for OFDM" Communications, 2001.
ICC 2001. IEEE International Conference on , Page(s): 1461 -1465
J. J. van de Beek, O. Edfors, M. Sandell, S. K. Wilson, and P. O.Borjesson, On
channel estimation in OFDM systems, in Proc. IEEE Vehicular Technology
Conf., vol. 2, Chicago, IL, July 1995, pp. 815819.
L. L. Scharf, Statistical Signal Processing: Detection, Estimation, and Time
Series Analysis. Reading, MA: Addison-Wesley, 1991.
G.G. Rayleigh and V.K. Jones.``Multivariate Modulation and Coding for
Wireless Communication,".em IEEE Journal on Special Areas in
Communications}, 17(5):851--860, May 1999.
H. V. Sorensen and C.S. Burrus, ``Efficient computation of the DFT with only a
subset of input or output points," IEEE Trans. on Signal Processing, vol. 41, no.
3, pp. 1184--1200, march 1993
S. He and M. Torkelson, ``Computing partial dft for comb spectrum
evaluation," IEEE Signal Processing Letters, vol. 3, no. 6, pp. 173--175, june
1996.
Ye (Geoffrey) Li "Pilot-symbol-aided channel estimation for OFDM in wireless
systems", IEEE Transactions on Vehicular Technology, vol. 49, no. 4, july 2000
pp., 1207-1215;
Beating the wireless channel 93
[10]
[11]
[12]
[13]
[14]
[15]
[16]
[17]
[18]
Baoguo Yang, Khaled Ben Letaief, Roger S. Cheng, and Zhigang Cao, "
Channel Estimation for OFDM Transmission in Multipath Fading Channels
Based on Parametric Channel Modeling"; IEEE Transactions on
Communications, vol. 49, no. 3, March 2001 pp. 467-479
R. Roy and T. Kailath, ESPRITEstimation of signal parameters via
rotational invariance techniques, IEEE Trans. Acoust., Speech, Signal
Processing, vol. 37, pp. 984995, July 1989.
Rohit Negi and John Cioffi, "Pilot Tone Selection for Channel Estimation in a
Mobile OFDM System," IEEE Transactions on Consumer Electronic, vol. 44,
no. 3, August 1998, pp. 1122-1129.
M.J. Fernandez-Getino Garcia, J.M. Paez-Borallo and S. Zazo, "Pilot Patterns
for Channel Estimation in OFDM," Electronic Letters, vol. 36, no 12, pp. 1049-
1059, June 2000.
Ove Edfors, "Low Complexity algorithms in digital receivers", PhD Thesis,
Lulea University of Technology, 1996.
K. Abed-Meraim, W. Qiu, and Y. Hua, Blind system identification, Proc.
IEEE, vol. 85, pp. 13101322, Aug. 1997.
A. Scaglione, G. B. Giannakis, and S. Barbarossa, Redundant filterbank
precoders and equalizers Part II: Blind channel estimation, synchronization, and
direct estimation, IEEE Trans. Signal Processing, vol. 47, pp. 20072022, July
1999.
B. Muquet, M. de Courville, P. Duhamel, and V. Buenac, A subspace based
blind and semi-blind channel identification method for OFDM systems, in
Proc. IEEE-SP Workshop on Signal Proc. Advances in Wireless Comm.,
Annapolis, MD, May 912,1999, pp. 170173.
R. W. Heath and G. B. Giannakis, Exploiting input cyclostationarity for blind
channel identification in OFDM systems, IEEE Trans. Signal Processing, vol.
47, pp. 848856, Mar. 1999
Chapter 6
Avoiding a tower of Babel
Synchronization
Luc Deneire
IMEC
6.1 INTRODUCTION
Before an OFDM receiver can really demodulate data, it has to
synchronise itself in time and frequency with the transmitter. In this chapter
the effects of carrier frequency offset, symbol timing misalignment and
clock offset on the performance of the OFDM receiver will be analysed.
Classical solutions to the estimation of these parameters will be presented as
well as some recent developments.
The first section of this chapter describes the effects of symbol timing,
sampling clock frequency and carrier frequency offsets. This part will
follow the approach of the "classical" papers in OFDM synchronisation, like
the papers by Pollet et al. [1] and Speth et al. [2]. A symbol timing offset
(i.e. a timing offset that is a multiple of the sample duration) will add
additional Gaussian noise, with variance proportional to the symbol offset, to
the received signal. Moreover, as depicted in previous chapter, there is
potentially an additional noise due to the truncation of the channel impulse
response. A carrier frequency offset creates Inter Carrier Interference (ICI)
and also induces a time-variant rotation of the symbol constellation on each
subcarrier. A clock frequency offset produces a symbol rotation that is
proportional to the subcarrier index.
The second section describes the major synchronisation algorithms,
categorising them in methods based on the auto-correlation of the input
signal, on the cross-correlation of the input signal with the received signal
and on the use of the cyclic prefix.
96 Chapter 6
6.2 EFFECTS OF OUT OF SYNC TRANSMISSION
In this section, we first discuss the effect of symbol timing and sampling
timing offsets. Next, we discuss the effect of a frequency offset on the carrier
or sampling clock.
6.2.1 Symbol Timing Offset
Before performing the channel estimation, equalisation and
demodulation, the correct timing for the OFDM symbol has to be acquired.
Perfect synchronisation is achieved if the data block selected for the FFT
processor corresponds exactly to the transmitted IFFT block The most
important effect of a timing misalignment is the violation of the cyclic prefix
condition, as well as additional noise due to the estimation of a shortened
channel. On top of these effects, there is additional ICI and ISI.
6.2.1.1 Violation of the cyclic prefix condition
The effect of a mismatch in symbol timing is different for early and late
synchronisation. In the case of late synchronisation, i.e. when the
synchronisation tick is later than the perfect synchronisation point, a block of
received data is selected that contains part of the cyclic prefix of the next
symbol. This breaks the orthogonality of the subcarriers and leads to ICI. As
a consequence, late synchronisation should be avoided in all cases.
Early synchronisation, on the other hand, has no major effects if the
cyclic prefix is sufficient long. Indeed, the effect of early synchronisation is
simply that the measured channel begins with some zeros. To avoid ICI, the
guard interval should be sufficiently long to fulfil the Cyclic Prefix
Condition for this extended channel. Hence, in the system design, the
maximum synchronisation error should be specified and taken into account
in the length of the Cyclic Prefix.
6.2.1.2 Effect on the channel estimation performance.
Moreover, for late synchronisation, part of the channel response can fall
out of the channel estimation window (especially for the parameter-based
estimation methods). Hence, there will be an additional noise due to the
estimation error, which can be easily computed as
Avoiding a tower of Babel 97
where is the number of data carriers.
6.2.1.3 Effect of a symbol timing offset on a subcarrier.
Following Speth et al. [2], we assume a late timing offset of p.T samples,
and express the received data symbols for carrier k and symbol m. For
an AWGN channel, with a guard interval the vector of received
signals can be written as
Demodulation of this vector via the FFT yields [2]:
According to this equation the effect of the symbol timing offset consists
of three terms: a phase rotation, an ICI contribution and an ISI term. The
98 Chapter 6
phase rotation is proportional to the subcarrier index k. In addition, the
received signal is attenuated. The ICI is due to the loss of orthogonality. The
ISI term, on the other hand, occurs because of the inclusion of the next
symbol in the received channel.
Extending this analysis early synchronisation in case of a cyclic prefix
the received vector for the symbol becomes
Performing the same analysis
as above learns that the ICI and ISI terms in (6.2) vanish. Also the
attenuation factor disappears. As a consequence, the only remaining effect is
the phase rotation, which can be handled by the equaliser.
The extension to the multipath propagation case is easy to do. Indeed, as
long as the sum of the offset and the maximum delay spread (expressed in
samples) is smaller than the guard interval, the only effect will be the phase
rotation, which can be handled by the equaliser. If the offset is larger, the
attenuation term applied to the symbols appears to be negligible, whereas the
effect of the ICI and ISI can be modelled as an additive white Gaussian noise
whose variance is approximately linear with the offset p (see [2]).
6.2.2 Sample Timing Offset
The analysis that was carried out here above relies on an integer offset
(with respect to the sampling time). For an additional constant fractional
offset, the only effect is a phase shift in the received signal, which can also
be handled by the equaliser. Timing jitter, however, translates into phase
noise, which has a detrimental effect on performance. The effect of phase
noise is treated in the next chapter.
6.2.3 Carrier and Sampling Clock Frequency Offset
OFDM is much more sensitive to carrier frequency offset (CFO) and
sampling clock frequency offset (ScFO) than single carrier modulation (see
[3]). Under these effects the received samples experience a phase rotation
given by where is the CFO. The ScFO is
defined as where T is the perfect and T the actual sampling
interval.
The received signal under the effect of this phase rotation of the samples
can be expressed as:
Avoiding a tower of Babel 99
From this equation, we can conclude that the carrier frequency and sampling
frequency offsets create a loss of orthogonality between the carriers,
resulting in ICI. In addition to this ICI, we can identify two other effects of
CFO and ScFO: an OFDM symbol window drift, mainly due to the ScFO
and a subcarrier symbol rotation, due to both CFO and ScFO.
6.2.3.1 OFDM symbol timing drift
In the absence of sampling clock synchronisation, i.e. with a sampling
clock offset of the frame sampling instant will drift, for an observation
time of l OFDM symbols, of samples. In the case of HIPERLAN/2,
the standard assumes 20 ppm clocks between transmitter and receiver:
maximum mismatch is This results in a complete
sample shift every 25000 samples, i.e. 312.5 OFDM symbols. Hence, for a
burst of about 1000 OFDM symbols one can undergo a shift of 4 samples.
Note that for other systems where the number of carriers can be much higher
(e.g. 8192), like in Digital Video Broadcasting, this effect can be more
severe.
6.2.3.2 Subcarrier symbol rotation
Translating equation (6.3) in the discrete time domain yields the
following expression [2]:
denotes the OFDM symbol time, excluding the guard time. The
attenuation due tio the symbol rotation equals and is
neglectable in tracking mode. If we evaluate this formula for a specific
carrier k and determine the phase increment from one OFDM symbol to
another, we obtain:
100 Chapter 6
A constant phase rotation from one symbol to another is produced by the
carrier frequency offset. The sampling clock offset, on the other hand,
produces a phase rotation that grows with the subcarrier index. Considering
a similar test case as above, and 64 carriers, the maximum phase
difference between carriers on one OFDM symbol for is
6.2.3.3 Implementation loss due to ICI and attenuation of the
received signal.
Following [3], the combined effect of the attenuation and ICI generated
noise leads to an implementation loss D (i.e. the extra SNR needed to have
constant BER performance) of:
where denotes the SNR at the receiver while B denotes the total
bandwidth of the received signal.
This equation tells us essentially that the loss grows linearly with the
ratio of the frequency offset over the subcarrier spacing in a log-log graph.
Moreover, to have an acceptable degradation for an HIPERLAN/2 system,
the (residual) frequency offset should be about two orders of magnitude
smaller than the subcarrier spacing.
Note that [2] derives slightly different results, incorporating the multipath
channel coefficients, but ignoring the effect of attenuation and with a
different approach.
6.3 TIMING SYNCHRONISATION
Timing synchronisation techniques can be roughly divided in auto-
correlation methods on an OFDM symbol, cross-correlation methods on an
OFDM symbol, and methods based on the cyclic prefix.
Avoiding a tower of Babel
101
6.3.1 Methods based on auto-correlation of an OFDM
symbol
The most famous auto-correlation method is probably the so-called
Schmidl and Cox method [4]. Their symbol timing recovery searches for a
training symbol that has two identical halves in the time domain. This
search is performed by scanning the received signal, making the auto-
correlation of two parts that correspond to half the length of an IFFT and
searching for a plateau at the output of the auto-correlation circuit. This
scheme is sketched in Figure 6.2.
The timing metric of this synchronizer, in function of the signal r, is :
102 Chapter 6
The main advantage of this metric is its robustness against carrier
frequency offset, as well as its robustness against fading (due to the "AGC"
present in the denominator of the metric. Moreover, the signal r can be
generated by a classical OFDM scheme, in which the two halves of the
training symbol are made identical by transmitting a pseudo noise (PN)
sequence on the even frequencies, while zeros are used on the odd
frequencies [4].
The main disadvantage of the Schmidl and Cox method is the plateau-
like timing metric, which gives rise to a relatively high uncertainty on the
starting time of the symbol.
A simple solution to this problem, which has been used in IMEC's
solution (which is documented in chapter 8), is to resort to a sequence of
inverted training sequences, which, after auto-correlation, give rise to a saw-
tooth signal (Figure 6.3). From this saw-tooth, one can not distinguish the
two halves of the training symbol, hence, a classical sequence of identical
training sequences is appended, which gives rise to the classical plateau-like
metric. The combination of these two sequences then gives a more accurate
timing. The principle of this method is sketched in Figure 6.3. Note that the
first part of this scheme has been proposed in [5], where a performance
analysis is presented.
Moreover, this method enables the use of special constant amplitude
sequences (know as CAZAC sequences [11]), or of PN sequences, like in
[7]. The big advantage of these methods, on top of their accuracy, is that
they can base themselves on one bit quantisation of the received signal. This
has two major benefits, first, the complexity of the digital part is very low,
but perhaps most important, one can design specific synchronisation circuits
with 1 bit analog to digital converters, and hence lower the power
consumption significantly while waiting for an incoming burst.
Avoiding a tower of Babel 103
6.3.2 Methods based on cross-correlation of the received
signal.
Following the idea to use special synchronisation sequence, like PN
sequences, it is rather natural to implement the renowned matched filter
approach, which was developed for CDMA systems. In this method, the
receiver performs a correlation between the received signal, at different
epochs, and a local copy of the emitted synchronisation sequence. This
method is illustrated in Figure 6.4.
Starting from this simple principle, a large number of cross-correlation
methods can be found in the classical CDMA books. We will not detail the
properties of these synchronisation algorithms, but it suffices to know that
they can be very accurate, at the expense of a large computational load.
Their robustness to multipath will depend on the used sequence. They
require that the CFO has been compensated accurately before the signal is
applied to the cross-correlation time synchronisers.
Pursuing the similarity between CDMA and OFDM, as far as
synchronisation is concerned, Tufvesson et al. [6] propose the use of a pilot
signal, which is superimposed on the OFDM signal. The principle of this
approach is to use a PN-based preamble for acquisition of the timing,
followed by a PN sequence, whose length can be a multiple of the OFDM
symbol length, which is superimposed on the OFDM signal. Hence, there is
no wasted bandwidth for the tracking. Nevertheless, the accuracy can very
high when long PN sequences are used.
104 Chapter 6
6.3.3 Methods based on the cyclic prefix.
As was highlighted in the previous sections, the synchronisation calls for
the use of additional information, in the form of additional symbols or pilots.
One way to circumvent this loss of information is to use the cyclic prefix,
which is present in most of OFDM systems, to derive the timing
information. A simple scheme is illustrated in Figure 6.5.
The above scheme corresponds to the optimal estimator in case of an
AWGN channel. Indeed, when expressing the received signal under this
condition and searching for the Maximum Likelihood estimation of the time
delay, one finds the following solution [12]:
Avoiding a tower of Babel 105
This estimator has a several advantages: it has a high spectral efficiency
by not using pilots; it is based on a relatively simple expression and hence
results in a reasonable implementation complexity; it can perform
simultaneous time and frequency offset estimation. The main disadvantage
of the estimator is that in presence of severe multipath its performance is
reduced. Indeed, when multipath is present the range on which the signal is
cyclic becomes smaller (going to zero when the channel is as long as the
cyclic prefix).
6.3.4 Methods based on a training sequence considered as
a cyclic prefix.
A natural extension to the method mentioned above is to used several
replicas of the same signal coming at regular intervals, that is, a training
sequence. The principle of transforming a training sequence into a cyclic
prefix is illustrated in Figure 6.6, along with the modification in the frame
length with respect to the number of carriers. Notice that to be able to
produce the training sequence, one has to put specific values on specific
carriers.
In a similar way to above one can derive the ML estimator [13], which is
a multidimensional generalisation of (6.8). Indeed, instead of a correlation of
a cyclic prefix with the corresponding part of the useful data, we can now
use multiple correlations between subsequent training sequences. Figure 6.7
shows the estimation accuracy in function of the SNR with the number of
used training sequences M as a parameter. The curve for corresponds to
the classical cyclic prefix based method. As can be seen from the graph, the
106 Chapter 6
use of 3 training sequences results in an order of magnitude gain in
estimation accuracy at low SNR, or an equivalent SNR gain of
6.4 FREQUENCY SYNCHRONISATION
Frequency synchronisation can be divided in auto-correlation methods,
and methods based on the cyclic prefix. Like for timing synchronisation, the
cyclic prefix could also be replaced by a training sequence.
6.4.1 Methods based on auto-correlation of an OFDM
symbol
The first auto-correlation method was the so-called Moose method [14].
In this method a preamble is used that consists of two identical OFDM
signals without a guard interval in between them. The method relies on the
Avoiding a tower of Babel 107
fact that these two OFDM signals are related by the following expression,
assuming a frequency offset and noiseless transmission:
From this expression, one can easily show (see Appendix of [14]) that the
Maximum Likelihood estimate of the frequency offset is given by:
Intuitively, this result corresponds to the fact that the angle of is
equal to (see Figure 6.8).
For small frequency offsets, the tangent can be approximated by its
argument, and it is than straightforward to show that the estimate of the
frequency offset is conditionally (on the OFDM symbol and the frequency
offset) unbiased. Hence, this estimator is optimal and it's variance is given
by:
108 Chapter 6
Note that in the presence of multipath and provided that the cyclic prefix
condition is fulfilled, the estimator is still ML, as relation (6.9) still holds.
The main disadvantage of this method is the limitation to a frequency
offset that is smaller than half the inter-carrier frequency spacing. This
disadvantage is alleviated by Schmidl and Cox [4], by using a special
sequence of two OFDM symbols that consists of identical halves.
Lets consider the case where the frequency offset is larger than half the
inter-carrier frequency spacing. If we apply an algorithm, similar to the
Moose algorithm on the half OFDM symbols, we can write the frequency
offset as a multiple of half the intercarrier spacing z plus a fraction
The Moose algorithm on the half OFDM symbols can correct the
frequency offset due to The two half OFDM symbols are generated as
follows: before the IFFT, a PN sequence is generated and given as input on
the even subcarriers, while zeros are given as input on the odd subcarriers.
To also estimate z, a second OFDM symbol is generated such that the
two OFDM symbols are differentially encoded (on the even subcarriers), that
is, for an even subcarrier After the two OFDM
symbols are corrected by the frequency offset due to the PN sequence
will be shifted by 2z positions at the output. Moreover, even if the two
OFDM symbols were identical (at the sender), there would still be a constant
phase shift on all carriers. Hence, in a similar manner as for the timing
synchronisation, one can find the shift by the following optimisation:
Note that, as the core of Schmidl and Cox's algorithm is the same as the
Moose algorithm, they share the same optimality properties, and hence the
same performance (in terms of error variance) and are both unbiased.
6.4.2 Methods based on the cyclic prefix.
As was highlighted in the previous sections, the synchronisation calls for
the use of additional information, in the form of additional symbols or pilots.
Avoiding a tower of Babel 109
The same approach to reduce this overhead as was used for the timing
synchroniser, i.e. the utilisation of the cyclic prefix, can also be applied for
the frequency estimation. This will result in the approach that is illustrated in
Figure 6.9 and which is equivalent to ML estimation.
As for the timing estimator based on the cyclic prefix, the main
advantages of this estimator are it's simplicity and the absence of pilots.
Again, it is less robust than auto-correlation methods against multipath.
6.4.3 Methods based on a training sequence considered as
a cyclic prefix.
Similar to the approach for timing synchronisation, we can use a training
sequence, i.e. replicas of the same signal coming at regular intervals, in stead
of the cyclic prefix. The principle of transforming a training sequence into a
cyclic prefix remains identical to before and was illustrated in Figure 6.6.
Again, we can derive a multidimensional generalisation of the cyclix
prefix frequency estimator above. Indeed, instead of a correlation of a cyclic
prefix with the corresponding part of the useful data, we can now use
multiple correlations between subsequent training sequences. Figure 6.10
shows the frequency estimation accuracy in function of the SNR with the
number of used training sequences M as a parameter. The curve for M=2
corresponds to the classical cyclic prefix based method. These results are
very similar to the ones obtained for timing estimation. Indeed, the use of 3
training sequences achieves an order of magnitude gain in estimation
accuracy at low SNR, or an equivalent SNR gain of
110 Chapter 6
REFERENCES
[1]
[2]
[3]
[4]
[5]
[6]
Thierry Pollet and Miguel Peelers, "Synchronization with DMT Modulation",
IEEE Communications Magazine, April 1999, pp. 80 -86.
Michael Speth, Stefan A. Fechtel, Gunnar Fock and Heinrich Meyer, "Optimum
Receiver Design for Wireless Broad-Band Systems Using OFDM -- Part I"
IEEE Transactions on Communications, Vol. 47, No 11, November 1999, pp.
1668 - 1677.
Thierry Pollet, Mark Van Bladel and Marc Moeneclaey, "BER Sensitiity of
OFDM Systems to Carrier Frequency Offset and Wiener Phase Noise", IEEE
Transaction on Communications, vol. 43, No 2/3/4, February/March/April
1995, pp.l91-193.
Tim Schmidl and Don Cox, "Robust Frequency and Timing Synchronization for
OFDM". IEEE Transactions on Communications, vol. 45, No 12, December
1997, pp. 1613-1621.
H. Minn, M. Zeng, and V. K. Bhargava,"On Timing Offset Estimation for
OFDM Systems", IEEE Communication letters, Vol. 4, No 7, July 2000, pp.
242-244
F. Tufvesson, M. Faulkner, P. Hoeher and O. Edfors, "OFDM Time and
Frequency Synchronization by Spread Spectrum Pilot Technique"m 8 IEEE
Communication Theory Mini Conference, ICC'99, Vancouver, Canada, June
1999, pp.116-119.
Avoiding a tower of Babel 111
[7]
[8]
[9]
[10]
[11]
[12]
[13]
[14]
F. Tufvesson and O. Edfors, "Preamble-based Time and Frequency
Synchronization for OFDM Systems", Technical Report, part of the PhD Thesis
of F. Tufvesson, University of Lund, 2000.
Baoguo Yang, Khaled Ben Letaief, Roger S. Cheng, and Zhigang Cao "Timing
Recovery for OFDM Transmission", IEEE Journal On Selected Areas In
Communications, Vol. 18, No. 11, November 2000, pp-2278--2291
M. Speth, F. Classen, and H. Meyr, Frame synchronization of OFDM systems
in frequency selective fading channels, in Proc. VTC97, pp. 1807-1811.
Uwe Lambrette, Michael Speth and Heinrich Meyr, "OFDM Burst Frequency
Synchronization by Single Carrier Training Data", IEEE Communications
Letters, Vol.1, No.2, March 1997, pp. 46-48.
A. Milewski, "Periodic sequences with optimal properties for channel
estimation and fast start-up equalization", IBM J. Res. Develop., vol 27, no 5,
Sept. 1983, pp. 426-431.
J.-J. van de Beek, M. Sandell, and P. O. Brjesson, ML estimation of time and
frequency offset in OFDM systems, IEEE Trans. Signal Processing, Vol. 45,
pp. 1800-1805, July 1997.
Luc Deneire , Bert Gyselinckx, Marc Engels "Training Sequence vs. Cyclic
Prefix. A new look on Single Carrier Communications" IEEE Communication
Letters Vol.5, No7, pp. 292-294, July 2001.
Paul H. Moose, "A Technique for Orthogonal Frequency Division Multiplexing
Frequency Offset Correction", IEEE Transaction on Communications, Vol. 42,
No. 10, October 1994, pp.2908-2914.
Chapter 7
Living with a real radio
Impact of front-end effects
Boris Come, Jan Tubbax
7.1 INTRODUCTION
Signals generated by the digital modem at the transmit side of a
telecommunication system must be transported wired or wireless to the
receive side of this system with minimum deterioration of the signal quality.
Local Authorities (e.g. the FCC in the US) that set boundary conditions in
terms of transmitted power, unintentionally radiated powers, and correct use
of the available spectrum, regulate this transmission. The mixed-signal front-
end transforms the original signal so that it can be transported without
violating these rules in the allocated frequency band. As a result, mixed-
signal front-ends hardly ever differ from the schematic in Figure 7.1: the
digitally modulated signal is first converted into an analog signal, then up-
converted in one or several steps to the assigned RF frequency and finally
amplified so that it can reach the receiver with sufficient power. The first up-
conversion is generally combined with I/Q modulation, which can be
performed either before or after the Digital-to-Analog Converter(s). Each
operation is followed by a filtering operation to avoid unintentional
transmission in adjacent frequency bands. At the receive side, the signal
undergoes similar operations in reverse order, from the receiver input
(antenna or cable connector) to the Analog-to-Digital Converter(s). The first
operation always consists of the separation of the wanted signal from
blockers and signals in adjacent frequency bands (filtering). Power
alignment between the transmitted and received signal is generally
controlled at both sides: at the transmitter by the Power Control function to
IMEC
114 Chapter 7
avoid transmitting excess power, and at the receiver by the Automatic Gain
Control (AGC) to fine compensate for the channel losses.
Given the high data rates with required low bit error rates, and given the
nature of the OFDM signal, a conservative analysis of the front-end
requirements for systems compliant with [1] and [2] lead to severe, over-
dimensioned specifications. Such a design would never meet the market
requirements for consumer applications i.e. low-cost and low-power
consumption. To optimize the design at system level, the interaction between
the front-end and the OFDM digital modem must be better understood. This
makes it impossible for the analog front-end designers alone to set the front-
end specifications.
The front-end effects on the link performances are difficult to analyze as
they address mixed-signal issues. Considering for example the phase noise
on the local oscillator port of a RF mixer, or the nonlinear behavior of a
power amplifier, how is the RF signal corrupted and how does it affect the
decision block output of the decoder? More generally, how to relate the
specification of a mixed-signal front-end effect to an Implementation Loss
(IL) or Bit Error Rate (BER) degradation?
To answer such questions for the dominant front-end effects, we will
follow two approaches in this chapter:
in Section 7.2, we will qualitatively explain the BER performance
degradation and simplify complex interactions between the front-end and
the digital modem by additional Gaussian noise source. This for example
Living with a real radio 115
to derive an initial operating point for the front-end architecture
definition, or to define for each front-end effect, a relevant range of
values for which system simulations should be performed.
in Section 7.4, we will go more into details for each front-end induced
effect, giving either a theoretical analysis or references to literature, and
presenting simulation results. These simulation results, mainly BER
curves, required a complete end-to-end model of the OFDM link, that
will be introduced first in Section 7.3.
7.2 HOW THE FRONT-END IMPAIRS THE OFDM
MODEM
7.2.1 An overview of the front-end impact on the OFDM
signal
The principle of OFDM is the parallel transmission of QAM-modulated
subcarriers using frequency division multiplexing: the time domain OFDM
symbols at the output of the digital modem are generated from the QAM-
modulated subcarriers through an IFFT, then parallel-to-series converted by
a multiplexer on I and Q paths. The baseband time domain OFDM-
modulated symbols are then passed to the transmitting front-end, of which
the principle of operation has been previously discussed. The RF signal is
then sent through the channel and down-converted to baseband at the
receiver side by the receive front-end. After time-domain synchronization,
the received symbols are series-to-parallel converted and fed to the input of a
FFT that generates back the transmitted symbols, shaped by the channel
response and corrupted by front-end effects. Before QAM-detection, a
simple single-tap equalizer in the frequency domain corrects for the fading
channel.
The original OFDM-modulated signal will be affected by the transmit
and receive mixed-signal front-end by several non-ideal processing steps:
limited word-length of the signal paths in the digital domain, especially
in the Digital-to-Analog and Analog-to-digital Converters (DAC and
ADC);
phase and gain mismatches between the I and Q paths in the I/Q
(de)modulator, leading to cross talk between the I and Q signals and
distortion of the constellation ;
phase noise on the local oscillator port of the mixers, causing a rotation
of the constellation and subcarrier interference as the power on each
subcarrier is spread out onto all other subcarriers ;
nonlinear distortion as the signal is amplified all along the transmit and
receive chains, generating harmonics (of minor influence as they can be
116 Chapter 7
filtered), and intermodulation products falling on top of neighboring
subcarriers;
clock jitter on the DAC and ADC
inaccuracy in gain control at the receive side
addition of the filter impulse response to the channel impulse response,
adding subcarrier-dependent signal-to-noise ratio variations and delay
spread to the signal path.
In this chapter, we will analyze the impact on the BER performances of
the OFDM link for the above listed front-end non-idealities, either at the
transmitting or at the receiving side (it will be pointed out in section 7.4 that
it makes little or no difference). This list is not exhaustive, and many other
front-end effects such as image rejection in mixing stages, blockers
saturating the analog circuitry, interferers mixing with the wanted signal,
etc... should be well understood and characterized at system level to
prevent performances losses. Those are however strongly architecture
dependent, which would bring the analysis beyond the scope of this chapter.
7.2.2 Expected degradation of the digital modem BER
performances
We will show in the following that by making simplistic assumptions one
can easily predict the impact of some of the front-end effects on the BER
performances. A rigorous theoretical analysis or modeling of the front-end
effects on the performances of an OFDM link is out of the scope of this
section, but will be further addressed in section 7.4.
Implementation Loss and BER degradation: Given a reference BER-
versus-SNR curve and simulated or measured BER-versus-SNR curve, the
Implementation Loss (IL) is the difference in SNR between these two curves
at a specified BER. Conversely, the BER degradation is the difference in
BER between these two curves at a specified SNR.
Effect of quantization and clipping: One major problem related to the
use of OFDM is known to be the large peak-to-average power ratio of the
time-domain signal at the output of the IFFT in the transmitter. Many
authors rather use the crest factor, which is the square root of the peak-to-
average power ratio. If no special measures are undertaken, this large crest
factor would lead to very inefficient implementations in terms of word-
lengths in the digital modem and data converters, and of dynamic range in
the analog front-end. A method that limits the corrupting influence of large
crest factor, rather than preventing the effect itself, is the clipping of the
signals coming out of the IFFT, operation performed in the digital domain.
This straightforward approach is well documented in the literature [3] and it
has been shown that it results in acceptable implementation losses. The
impact of digital clipping will be studied in greater details in Section 7.4.1,
Living with a real radio 117
together with the effect of limited word-lengths of the signal representation
in the digital domain, another effect that limits the signal-to-noise ratio at the
front-end input. One of the important results worth mentioning here is that
quantizing and clipping each generate additive Gaussian noise that are
uncorrelated except for their powers. For a given word-length of the samples
at the output of the IFFT, digitally limiting the crest factor of the signal to a
lower value increases the clipping noise while at the same time it reduces the
quantization noise.
Effect of I/Q imbalance: I/Q imbalance can also be seen as a source of
Gaussian noise. I/Q imbalance results from gain mismatches between the I
and Q paths and non-perfect quadrature generation in the I/Q (de)modulator.
This effect might be critical in direct down-conversion receivers where the
I/Q demodulator operates at 5 GHz. It can be shown that a phase or
amplitude mismatch between the I and Q paths in the I/Q (de)modulator has
the same effect as a parasitic up-/down-conversion by a tone at the negative
frequency of the LO frequency (for details see section 7.4.3). Hence it is
often specified in terms of Negative Frequency Rejection (NFR), expressed
in dBc.
This is illustrated in Figure 7.2, where the negative frequency
components of the OFDM signal (here considered as an interferer in the
image band) are down-converted to DC on top of the wanted signal (positive
frequency components of the same OFDM signal). Because the LO parasitic
component reflects the I/Q imbalance, it is NFR dB lower than the LO
wanted component. Hence the parasitic down-converted signal is NFR dB
lower than the wanted down-converted signal. Furthermore, as this parasitic
signal consists of the negative frequency components of an OFDM signal, its
amplitude follows a Gaussian distribution. As a result, it can be considered
as a Gaussian additive noise perturbation, with a SNR equal to the NFR.
118 Chapter 7
Effect of phase noise: Phase noise and carrier frequency offset in OFDM
systems have been extensively described in the literature [4] [5] [6] [7].
Carrier frequency offset is specified in the WLAN standards [1][2] to a
maximum of 20 ppm. This impacts the crystal oscillator selection. It
necessitates frequency compensation in the digital modem receiver [8].
Due to phase noise on the LO, the signal on each subcarrier is shaped
accordingly to the LO Power Density Function (PDF) and corrupts the
neighboring subcarriers. In [4], the disturbance introduced by a local
oscillator (LO) with phase noise is studied in great details at the digital
receiver: the author differentiates the Foreign Noise Contribution (FNC)
from the Own Noise Contribution (ONC). The ONC is the contribution of
one noisy subcarrier to its own noise component. The ONC, which is
identical for all subcarriers, can be considered due to the mixing operation,
as a multiplicative noise source constant over one OFDM symbol. As a
result, the phase of the ONC causes a common rotation of the whole
constellation, whereas the magnitude of the ONC scales the whole
constellation, just as an amplitude modulation. However, when the LO is
used as a switching signal in a mixing operation (as in a Gilbert mixer,
which is the assumption for the rest of this text), it drives the LO port of the
mixer into saturation. In that case, amplitude modulation on the LO signal is
not seen and do not affect the BER performances anymore. The FNC is the
contribution of noisy subcarriers to the noise on one subcarrier.
OFDM consists of the parallel transmission of a large number of
subcarriers that are independantly QAM-modulated. Hence, according to the
central limit theorem, the disturbance caused by the superimposed
contributions of all corrupted subcarriers has a Gaussian distribution.
As a consequence, the disturbance of an OFDM link due to a noisy VCO
is two-fold. Due to close-in phase noise (ONC) the whole constellation in a
symbol is rotated; and due to higher frequency components of the phase
noise (FNC), the SNR on each subcarrier is limited by inter-subcarrier
interference. For an OFDM link characterized by a channel bandwidth B and
a number of subcarriers the constellation rotation can be digitally
estimated as the mean phase deviation during the symbol period
and compensated for on a per-symbol basis (Section 7.4.4). On the other
hand, the degradation induced by the FNC, modeled as a Gaussian noise
source, can not be compensated. However, its negative impact can be
predicted by approximating the noise power as the integral of the phase
noise PDF over the channel bandwidth, except for the sub-band
that has already been accounted for.
Effect of inaccurate gain control at the receiver: Inaccurate power
estimation will induce an inappropriate decision of the Automatic Gain
Control (AGC) algorithm. Due to process variations, tolerances of the bias
and supply voltages, temperature, and other external parameters, the
switching gains in the RF, IF and baseband variable gain amplifiers (VGA)
Living with a real radio 119
will vary from their nominal values, resulting in inaccurate actions of the
AGC. Large inaccuracies in the AGC can lead to saturation of the analog
circuitry. This can be avoided by setting reasonable tolerances on the power
estimation accuracy and on the gain of the analog blocks. However,
inaccuracy of the gain control can not be completely avoided without
pushing these constraints to non-realistic values. As a consequence a residual
miss-alignment of the signal power with the ADC dynamic range will exist.
Too much gain in the receiving front-end will saturate the ADC and clip the
signal; insufficient gain will result in higher quantization noise.
Hence the effect of inaccurate gain control at the receiver can be studied
the same way as for quantizing and clipping, developed at the beginning of
this section.
Conclusion: The effect of clipping and quantization, I/Q imbalance,
phase noise on the local oscillator (at least for it foreign noise contribution),
and inaccurate gain control in the receiver can be seen as additive Gaussian
noise source. Their power can be estimated and, as a result, the
Implementation Loss IL introduced by these front-end non-idealities can be
estimated as follows:
from the front-end characteristics, the power of these equivalent
Gaussian noise sources is computed,
120 Chapter 7
from the theoretical BER-versus-SNR curves for a Gaussian channel, the
signal to noise ratio to obtain a given BER is extracted,
these two noise sources are combined, and the equivalent global signal-
to-noise ratio computed,
the difference is the implementation loss IL at the
selected BER.
The curve IL versus is unique. It is plotted on Figure
of As an example, an I/Q imbalance of 32 dB Negative
Frequency Rejection will result in an implementation loss of 0.7 dB at
and 0.9 dB at Conversely, an implementation loss
smaller than 1dB at requires that the LO phase noise power
integrated over the OFDM signal bandwidth is at least of 30.5 dBc.
This approach holds as well for coded simulation: a fairly good
approximation of the implementation loss can be derived without lengthy
7.3 together with, as an example, the values of for uncoded BPSK,
QPSK, 16QAM and 64QAM.
On Figure 7.4, the implementation loss due to Gaussian noise sources
with power ranging from 25 dBc to 45dBc for 64 QAM transmission at BER
Living with a real radio 121
BER simulations The same example applied to I/Q imbalance and resulting
in 32dB NFR, is illustrate here:
the simulated BER curve for 64QAM coded (convolutional coding is
applied as in [1][2]), is plotted on Figure 7.5;
the nominal signal-to-noise ratios to get a BER of is 18.2 dB ;
the addition of two Gaussian noise source with powers of 18.39 dBc and
32 dBc is equivalent to a Gaussian noise source with a power of 18.20
dBc;
hence, the implementation loss due to such I/Q imbalance on coded
64QAM is 0.19dB.
Similarly, at a BER of the implementation loss will be 0.23 dB.
Note that the curve in Figure 7.3 is still valid, only the values of
account for the coding added to the signal!
The above discussion is only an intuitive approach to complex front-end
effects on OFDM data transmission. However, this approach can quickly
guide the architecture designer to a first operating point with a given budget
in terms of implementation loss distributed over the complete chain. This
initial study must be checked and refine with extensive BER simulations
including more detailed models for all the front-end non-idealities. Such
simulations are detailed in Section 7.4.
122 Chapter 7
7.3 A SYSTEM SIMULATION TOOL
To accurately quantify the impact of front-end non-idealities and to
extract optimal front-end specifications, each design choice should be
assessed from a system point of view, through the evaluation of the BER
performances of the complete OFDM link. In the following, we briefly
describe such a simulation model. Complete description of this tool can be
found in [9] and [10].
7.3.1 Baseband model for the OFDM link
This model has been developed in MATLAB. It comprises baseband
models for a digital baseband OFDM modem, a generic front-end and
multipath channel responses. These channel responses, which correspond to
an indoor environment, are either loaded from a set of simulation results
obtained from a ray-tracing simulation tool [11], or generated at simulation
time according to channel models that are described in [12].
The simulation model follows the structure of Figure 7.1. The spectrum
of the OFDM signal in [1] and [2] occupies 16.8MHz in a 20MHz channel,
and the digital modem produces I, Q signals sampled at 20MHz. To avoid
high sample rates when simulating this band-pass signal at RF frequency of
5.2GHz, simulations are conducted at baseband using the complex low-pass
equivalent representation. Yet, in the simulation over-sampling by 4 is added
in the interface between the modem and the front-end so that nonlinear
distortion due to clipping can be evidenced. Clipping is performed digitally
on the I and Q paths, right after the time-domain signal generation. Note that
over-sampling can also be present in hardware implementations, where the
I/Q modulation is performed digitally. We will also show in Section 7.4.5
that introducing further clipping on the modulus of the up-sampled signal
helps improving the system power efficiency.
The analog front-end model consists of three blocks: an I/Q modulator
adding I/Q imbalance, a mixer with a local oscillator signal defined by its
phase noise power density function, and a power amplifier (PA) exhibiting a
cubic non-linearity. The power amplifier is implemented with ideal power
control that keeps the PA average RF input power constant, to make fair
comparisons between the power transfer functions.
In this chapter the front-end non-idealities are considered at the
transmitting side only. Nonetheless, simulations show that they produce
similar BER degradation if placed at the receiving side, even when
considering multipath channels. Although only briefly mentioned here, all
these non-idealities are fully implemented both at the transmitting and
receiving side of the link. A schematic of the full link model is shown on
Living with a real radio 123
Figure 7.6. Details on the models and simulation results will be given in the
following section, where all these effects are investigated separately.
7.3.2 Considerations on the equalizer
Channel equalization is performed at the receiver on the basis of the
received Long Training Symbol (LTS): the LTS is a predefined OFDM-
symbol prepended to the transmitted payload. At the receive side, the
equalizer estimates the channel coefficients from the received LTS. As the
thermal noise from the channel (white in a Gaussian channel, colored in
multipath channels) as well as front-end non-idealities corrupt the payload
and the LTS, the channel estimation will be impaired and the channel
equalization imperfect. The current model used for the equalizer corresponds
to a "worst-case" implementation, as none of these corruptive effects is
compensated for; it typically results in an additional IL of 3dB at
compared to simulations where perfect channel knowledge at the receiver is
assumed (Figure 7.7). An actual implementation of the equalizer is expected
to operate within these two limits.
124 Chapter 7
7.3.3 Validity of the model
Uncoded OFDM: we validated this model by comparing theoretical
BER curves and BER curves resulting from simulations. This comparison is
illustrated here for uncoded BPSK and 64QAM modulations.
Bit error probability for uncoded BPSK [13] is
Bit error probability for uncoded 64-QAM [13] equals
In these formulas Q(x) is defined as
Living with a real radio 125
where erfc(x) is the MATLAB built-in Complementary Error Function.
The received energy per bit versus noise spectral density can be expressed as
with;
is the bandwidth of the modulated signal;
is the uncoded BPSK data-rate, is the
uncoded 64QAM data-rate;
Error! Objects cannot be created from editing field codes. is a
correcting factor introduced to take into account the actual signal
bandwidth (the zero-carriers and the pilot-carriers of the OFDM
symbol do not convey data).
For uncoded BPSK this results in:
Similar derivations for uncoded 64QAM OFDM give
In Figure 7.8, the theoretical BER curve versus SNR for uncoded
64QAM is plotted together with the BER curve resulting from a simulation.
The OFDM link model used for this simulation was implemented with up-
sampling by 4 at the transmitter and down-sampling by 4 at the receiver,
plus all other non-idealities in the transmit and receive front-end as described
previously, but set to negligible values. Furthermore, perfect equalization at
the receiver is assumed. The two curves match by better than 0.1dB in SNR
for BER ranging from to The theoretical and simulation curves for
BPSK match even better. This validates the models in the system simulation
tool.
Remark: when assessing the performances of different modulation
techniques, another correcting factor is often taken into account to compare
126 Chapter 7
their bit error probability versus For OFDM, is then corrected
by a factor where is the length of the cyclic prefix, and
the number of subcarriers to reflect the fact that part of the energy of a
transmitted OFDM symbol is lost in the cyclic prefix.
Coded OFDM: The coding gain when using convolutional codes can
only be estimated. BER simulations have been performed including
convolutional coding at the transmitter for BPSK with a coding rate of 1/2,
and Viterbi soft decoding (with constraint length as in [1][2]) at the
receiver. It resulted in a BER of at 0.55dB SNR or, equivalently
equals 4.53 dB.
For BPSK and Soft Decision Viterbi decoding the expected result for
a BER of are [13] and coding The
expected with coding is therefore 4.5 dB at to be
compared with 4.53 dB we read from our simulations, which validates the
model for coded OFDM.
Living with a real radio 127
7.4 ANALYSIS AND SIMULATION OF THE MAIN
FRONT-END EFFECTS
In Section 7.2, we have shown that the effect of clipping and
quantization, I/Q imbalance, phase noise on the local oscillator (at least for it
foreign noise contribution) and inaccurate gain control in the receiver can be
seen as additive Gaussian noise sources. We have seen as well that their
power can be estimated and that, as a result, the implementation loss
introduced by these front-end non-idealities can be estimated using a single
reference curve, given in Figure 7.3.
In this section, we will go more into details for each front-end induced
effect, giving either a theoretical analysis or references to literature, and
present simulation results.
For most front-end effects we will present simulation results for 52 non-
zero 64QAM-modulated subcarriers (uncoded or with a coding rate of 3/4)
as this modulation is the most demanding case in the standards [1][2].
Furthermore, mostly Gaussian channels are considered here, as front-end
effects are easier to analyze and predict in an Additive White Gaussian
Noise channel (in short: AWGN or Gaussian channel) than in a multipath
channel.
7.4.1 Word-length of the transmitted symbols and optimal
clipping level
The word-length b of the symbol at the output of the transmit digital
modem has a major impact both on implementation cost and performance
limitation. As b decreases, the power consumption and the complexity of the
DACs decreases at the expense of the quantization noise hence the BER
performances.
However, b can be limited with acceptable performance degradation, due
to the limited signal-to-noise ratio (SNR) on the channel. Furthermore, the
digital clipping operation after the IFFT (see Section 7.3) limits the Crest-
factor of the signal, enhancing for a given word-length b the average-signal-
to-quantization-noise power ratio. Still, it also introduces an additional noise
source: clipping noise. It is therefore a joint-optimization process on b and
the normalized clipping level (ratio of the clipping level to the RMS
amplitude of the time-domain signal). The global signal-to-noise ratio
after quantization and hard-clipping operations on a
modulated OFDM signal has been derived in [3]:
128 Chapter 7
where:
Hence, for a given word-length b, an optimal clipping level can be
derived, as it is a trade-off between two noise sources: lowering the clipping
level enhances the clipping noise while at the same time reducing the
quantization noise. In Figure 7.9, the SNR of an OFDM signal after clipping
and quantizing is plotted versus for word-lengths b ranging from 6 to 9
bits: digital clipping at is close to the optimal clipping level for all word-
lengths. Hence b can now be optimized separately.
Figure 7.10 shows results from BER simulations considering only the
digital modem and a Gaussian channel. Table 7.1 shows a comparison
between the implementation losses obtained from simulations and expected
from the derivations in Section 7.2.2.
Living with a real radio 129
The IL due to the finite word-length b is less than 0.15 dB at
for For smaller word-length, the implementation loss increases
rapidly. Taking into account implementation cost of large word-length
systems, 8-bit word-length with digital clipping at is an optimum.
7.4.2 Wordlength of the received symbols
In the receiver a similar analysis can be performed for the word-length of
the ADC(s). Here, we have to take into account the imperfect receiver gain
setting at the input of the ADC as well as the Crest factor regrowth in the
transmission channel.
130 Chapter 7
Crest factor regrowth: After extensive simulations in multipath
channels, the crest factor regrowth due to frequency fading never exceeded
150%. Hence, the number of bits in the ADC should be slightly larger than
the number of bits in the DAC of the transmitter.
Imperfect receiver gain setting: We first assume for both the DAC at
the transmitter and the ADC at the receiver 8-bit quantization with nominal
clipping at Clipping at the transmitter is intentional as already discussed,
whereas further clipping at the receiver should be avoided. The automatic
gain control (AGC) is responsible for this task. It consists of a power
estimator that provides input to the gain controller (control logic) that sets
appropriate gains in the receiver front-end.
If the signal at the input of the ADC is well positioned then it will be
responsible for an implementation loss of 0.11 dB, just as the DAC in the
previous section.
If the receive front-end has too much gain, the Crest-factor of the signal
will be reduced due to clipping in the ADC. The clipping noise power is thus
enhanced, while the quantization noise power is lowered, but the total noise
power increases as shown on Figure 7.9. The operating point shifts on the x-
axis towards low Crest-factors, and the global SNR goes down from its
maximum, following the curve corresponding to the ADC word-length.
Conversely, when the receiver front-end has too low gain, the
quantization noise is enhanced, the clipping noise is reduced, but again the
global SNR moves out from its maximum.
Using the results of Section 7.2.2, we can perform a straightforward
sensitivity analysis on the implementation loss due to the gain control
accuracy. We study the effect on uncoded 64QAM modulation, for which we
target a maximum implementation loss due to the ADC quantization and
clipping of 0.5 dB at a BER of
From Figure 7.3, we conclude that 0.5dB IL corresponds to
For uncoded 64QAM, needs to be 24.6
dB to get a BER of so that the SNR resulting from clipping and
quantizing (the additional Gaussian noise source) should be
dB.
From Figure 7.9, we read that clipping and quantizing results in 34.2 dB
SNR for a word-length of 8 bits with clipping at or at These
clipping factors, normalized to and converted in dB, correspond to the
maximum and minimum (power) gain mismatches +1.18/-3.35 dB that can
be tolerated to get an implementation loss smaller that 0.5dB at a BER of
These requirements are quite severe, as gain mismatches can raise both
from implementation, but as well from power estimation errors in the AGC,
unavoidable due to the time-limited estimation process.
A straightforward solution to cope with limited AGC accuracy is to
increase the number of bits in the ADC. Choosing for a 10-bit ADC solves
Living with a real radio 131
the problem, as this would give a safety margin of +3dB/-3dB on the
required power gain accuracy at the input of the ADC.
As a result, a possible architecture choice to combat excess
implementation loss due to crest factor regrowth and imperfect receiver gain
setting could be:
for the transmitter, 8-bit DACs, of which the 2 MSB are for signal
amplitudes above RMS amplitude (that is clipping at ),
for the receiver, 10-bit ADCs.
7.4.3 Imbalance between I and Q paths
I/Q imbalance results from two effects: gain mismatch between the I and
the Q paths and non-perfect quadrature generation ( and respectively).
The effect of a mismatch between the I and the Q paths has already been
qualitatively described in Section 7.2.2: it has the same effect as a parasitic
up-or down-conversion by a tone at the negative frequency of the LO
frequency. Equation (7.24) shows this effect and quantifies it.
The power ratio between the positive and the negative tones of the local
oscillator is referred to as the Negative Frequency Rejection (NFR) in dBc. It
relates NFR and by:
I/Q imbalance on is modeled at baseband with:
Table 7.2 shows a comparison between the implementation losses
obtained from simulations and expected from the derivations in Section
7.2.2.
132 Chapter 7
These results show a discrepancy between the IL obtained from BER
simulations and from the derivation in Section 7.2.2. Furthermore, this error
is increasing with the I/Q imbalance. However, for the kind of
implementation losses we target (around 1 dB at a BER of ) the
derivations of Section 7.2.2 still give acceptable results.
These simulations were repeated with the multipath (MP) channel library
from the system simulation tool presented in Section 7.3.2. A negative
frequency rejection of 32 dB in AWGN channels gives 0.19 dB
implementation loss for coded 64QAM (Section 7.2.2); this resulted in
comparable IL for about 80 % of the MP channels in our library. The NFR
specification for coded 64QAM should be increased to 35 dB to cover 86 %
of the MP channels and to 39 dB to cover 98 % of them. As the nominal
NFR of 32 dB is already at the edge of today's ICs, these few dBs difference
in NFR specification for operation in MP channels will have a large
influence on the front-end cost!
7.4.4 Phase noise
Phase noise has been studied extensively in literature [4] [6]. It is shown
that phase noise has two effects on an OFDM symbol: the Own Noise
Contribution (ONC) and the Foreign Noise Contribution (FNC). The ONC
comes from the low frequency part of the phase noise. It results in an
identical rotation of all subcarriers, which is therefore also called the
Common Phase Error (CPE). This CPE can be estimated and thus corrected.
The FNC comes from the high frequency phase noise contributions. On a
subcarrier it gives rise to interference from all other subcarriers, also called
Inter Carrier Interference or ICI. This can be represented as an additional
Gaussian noise source, which cannot be corrected. Since in our case the
inter-carrier-spacing is quite large with respect to the phase
noise bandwidth the ONC is dominant over the FNC and the
FNC can be neglected. This means that every OFDM symbol is rotated over
the CPE. Moreover since the variations in phase noise will be a lot
slower than the period of an OFDM symbol. Therefore this CPE can be
considered constant over the duration of one OFDM symbol. This effect is
shown in Figure 7.11 and Figure 7.12. Figure 7.11 shows the effect of phase
noise on one OFDM symbol: we clearly see a rotation of the entire
constellation. On Figure 7.12, the constellation of all symbols in a same
burst are superimposed: the rotation angle varies from symbol to symbol.
Living with a real radio 133
We want to compute the BER degradation caused by the phase noise as
Therefore we have to calculate the effect of the phase noise on the BER
of 64QAM as
134 Chapter 7
In this expression the effect of a phase rotation on the BER
performance of 64-QAM is [14]:
with the symbol-to-noise power and Next we need to derive the
distribution function of the phase rotations
Living with a real radio 135
From literature and measurements [4] we know that the phase noise
spectrum can be represented by a piece-wise linear function (Figure 7.13): a
flat level close to the carrier frequency representing the PLL floor (-78.2
dBc/Hz in our case), a flat low level representing the system noise floor
(-118.2 dBc/Hz), and a transition in between with a slope of -20dB/decade
(according to Leesons model). This results in an integrated phase noise
power of 32 dBc, integrated over the signal bandwidth.
Let be the frequency domain representation of the phase noise then
or
with K the number of frequency points in the phase noise spectrum
specification. The positive part of the phase noise spectrum is represented by
the negative part by and the DC-component by
We can write as with the amplitude specification of the
phase noise (as it is shown in Figure 7.13) and is the phase of that
frequency component. Substituting in (7.30) leads to
in which From here on, we omit the index n from
because the introduction of makes the dependency on n implicit.
Since the real and imaginary parts of the phase
noise are
As stated in Section 7.2.2, we assume that there is no amplitude
modulation due to the phase noise. Since then
should be zero. To construct a real phase the negative part of its spectrum
should be chosen to be the complex conjugate of the positive part. This
means resulting in
136 Chapter 7
This is equivalent to Filling in (7.32) in (7.33) leads to
The Central Limit Theorem tells us that the distribution of a sum of a
large number of random variables is Gaussian, with a mean equal
to the sum of the means and a variance equal to the sum of the variances. So,
the real part of the phase noise has a Gaussian distribution. Its mean and
variance are
Note that we only need the integrated phase noise power to
describe the phase noise distribution. This shows that the shape of the phase
Living with a real radio 137
noise spectrum has no impact, only the integrated phase noise (as long as the
FNC is negligible).
The probability distribution of the phase rotation due to the phase noise is
thus
This derivation shows that we can assess the BER degradation directly
from the phase noise specifications through equation (7.27). We verified the
analytical expressions through simulations and they match perfectly.
Expressed in terms of implementation loss, we find an IL of 3 dB at
as shown in Figure 7.14.
As we stated earlier, the phase rotation of an OFDM symbol is the same
for all carriers in an OFDM symbol and can thus be estimated and corrected.
We followed a decision-directed approach: we estimate the phase rotation as
the average angle between the received symbols and the hard decisions of
the symbols. We apply the estimated phase rotation to the OFDM symbol
and redo the decision. This approach eliminates the phase rotation caused by
138 Chapter 7
the ONC. The only degradation that is left is caused by the Gaussian noise
contribution of FNC, which in our case results in a BER shift of about 0.2
dB (Figure 7.14).
It is clear from this discussion that compensating the Common Phase
Error greatly diminishes the BER degradation by the phase noise. This
permits us to allow more phase noise, up to the point where the introduced
FNC becomes too large.
In WLAN with 64 sub-carriers the ONC is the dominant effect. In other
applications, such as digital broadcasting, the inter-carrier spacing is a lot
smaller and thus is the FNC the dominating effect.
7.4.5 Limited linearity
The use of a linear Power Amplifier (PA) is mandatory due to non-
constant envelope signals. For simplicity, the PA here is assumed class A
with back off. The output range limitation, due to hard clipping when the PA
is driven into its saturation region, can be anticipated to cause a strong
limitation on the BER performance. As a consequence, the saturation of the
PA should be avoided by all means. Also because this would produce strong
out-of-band radiation, require a long relaxation time to bring the PA again
out of saturation and corrupt a large number of samples. A straightforward
solution to prevent saturation of the PA is digital clipping at baseband of
high signal amplitudes. This approach has already been presented as a
necessary step to limit the word-length of the digital signal path and to limit
the number of bits in the DAC. In addition it is also possible to add clipping
on the magnitude of the complex signal to reduce even further the
signal Crest factor. As sketched in Figure 7.15, this operation adds only a
limited noise power, as the probability of clipping magnitudes above is
low.
This second clipping operation can be implemented at low cost if the
transmitter architecture includes a digital IF (with digital I/Q modulation); if
not, it requires a considerable amount of DSP, hence increase the system
cost and power consumption. During the system design this must be traded-
off against the PA implementation cost. In the following of this text, we
assume magnitude clipping on such that the PA is never driven into
saturation.
Before considering a specific PA model for simulation, the impact of
magnitude clipping on alone has been checked. This would
correspond to a PA model with limited output power and ideally linearized
(implemented for example with an ideal predistortion scheme). The
simulated implementation losses at a BER of are reported in Table 7.3.
We can conclude that magnitude clipping allows to reduce the clipping level
compared to performing the operation on I and Q separately.
Living with a real radio 139
PA amplitude transfer function model: The PA linearity is a key
parameter as it reflects the distortion introduced on non-constant envelope
signals, and is closely related to power consumption. It will be quantified in
the following by the PA input-referred 3rd order intercept point IIP3 or 1dB-
compression point for a given average RF input power The
amplitude transfer function of the PA, assuming that it is kept out of
saturation, equals:
where is the limit of the saturation region, G the linear gain, and
quantify the non-linearity of the device. The non-linearity coefficient can
be expressed as a function of IIP3, or
140 Chapter 7
As a consequence, IIP3 and are related by the well-know equation
Real-life systems do not present only cubic non-linearities. However in-
band distortion is mainly introduced by cubic distortions. Hence the
specifications derived for cubic non-linearities are still valid for PAs with
more complex nonlinear behavior. Indeed, the distortion created by higher
orders non-linearities generate mainly out-of-band components that can be
filtered out. One remark is that in general the relation between IIP3 and
becomes Therefore, it is best to characterize the non-
linearity of the PA by its to make sure that the average signal power is
far enough from the PA compression region.
PA model for in-band distortion: the PA model based on the amplitude
transfer function described above can not be used as such with a lowpass
representation of the signal, as at baseband one can only compute in-band
distortion. Instead, the model for baseband simulation is based on two
functions, the AM-AM and AM-PM conversion functions, f and g
respectively. If one applies to the non-linearity, this
results in Combining with (7.38) results in:
The PA model for baseband simulation, computing in-band distortion
only, is therefore:
Note: When f(t) and g(t) are functions of only, as in (7.26), the non-
linearity is referred as envelope (band-pass) non-linearity [20]. This
guaranties that the PA can be linearized with predistortion techniques.
Figure 7.16 shows, for various values of the back-off between the 1dB-
compression point and the average input power the amplitude
transfer function curves of a PA described by (7.38). On the same plot are
reported a constant input power (here ) and the corresponding
peak power for a clipping factor From this figure, it appears that the
PA will only remain out of saturation for a back-off greater
than +8.4 dB; this corresponds to a rather high back-off, that may set
stringent constraints on the PA design. To enforce the condition that the PA
remains out of saturation even for a clipping level
lower than the provided by baseband clipping on I and Q separately
Living with a real radio 141
would be required. As proposed before, it is best to add clipping on the
magnitude of keeping on I and Q the optimal clipping level of
derived in Section 7.4.1. This technique was applied to all the simulations
we present in this section: first baseband clipping at on I and Q
separately, then additional magnitude clipping at for simulations where
BER simulation results for PA non-linearity: the PA transfer function
is modeled at baseband by (7.41). Figure 7.17 shows that for low
implementation losses and without predistortion, the PA can be operated
with only 5.4 dB back-off between and (15dB between and
IIP3). This can be translated in terms of system power efficiency into 13%,
assuming a class A PA with 50% intrinsic power efficiency. Moreover, it
appears from uncoded simulations that, at low BER, the degradation is for a
large extent introduced by the hard clipping, and not by the gain
compression in itself. Indeed, with ideal predistortion applied as a
linearization technique, the IL at is for 70% due to hard clipping (PA
output power saturation), and for 30% due to soft clipping (gain compression
of the PA). Thus, predistortion applied as a linearization will not help
142 Chapter 7
significantly with respect to the system power efficiency versus BER trade-
off. However, predistortion might be considered to reduce out-of-band
radiated powers (inter-modulation products and harmonics).
7.4.6 Clock jitter on the ADC
An ADC processes a continuous time, continuous amplitude signal x(t) to
produce a sampled, quantified representation of this signal x[n]. The
sampling instant, ideally suffers from clock jitter, which can be
modeled by a stochastic variable As a result, the actual sampling instant
should be written as where is the value of at
In a simulation model of an ADC it is impractical to add clock jitter directly,
for this would require a large over-sampling rate. Therefore, the effect of
clock jitter on the signal x[n] will be added first. Next,
is fed through an ideal ADC. This way, it is still possible to perform
baseband simulations at the nominal sampling rate As illustrated on
Figure 7.18, the sampling error is computed as a linear interpolation of
the input signal x[n] at the sampling instant where follows
a Gaussian distribution with a zero mean and a variance This can be
formalized as
Living with a real radio 143
The error between the interpolated value y[n] and the actual value of
seems quite large on Figure 7.18. However, because the
simulation set-up includes up-sampling by 4 (Section 7.3.1) this error is
significantly reduced.
Simulation conducted for an ADC clock jitter variance of 1.4 ns
on a clock frequency of 80 MHz (that is 11.2 % of the ADC sampling
period) resulted in an implementation loss smaller than 0.5 dB at
It shows that the link is quite robust with respect to clock jitter, as could
be expected due to the high correlation between the signal sampled without
clock jitter and the signal sampled with clock jitter.
7.4.7 Filter design in OFDM systems
The last front-end induced degradation we want to discuss here relates to
filters in the signal path, either analog or digital. The frequency domain
performances of filters relate to cascade analysis and appropriate frequency
planning.
In-band ripple will result in subcarrier dependent SNR reduction.
However, this can be combated for the transmitter filter chain by pre-
144 Chapter 7
compensation, that can be directly implemented on the OFDM symbols
before the IFFT. At the receiver, inband ripple from the filter chain has no
impact on the SNR, as signal and noise will be shaped in the same way
(provided that the noise floor of the system is not reached). Limited stop-
band performances will have similar effects as for any communication
system: in-band SNR reduction, in this case subcarrier dependent as well,
due to adjacent channels or interferers falling back in the desired signal band
after mixing operations, nonlinear distortion, or aliasing in the ADC.
More specific to OFDM is the performance degradation that results from
the extension of the impulse response of the channel due to the insertion of
the filters in the signal path. As demodulation in an OFDM receiver is based
on frequency domain block processing, strong performance degradation will
possibly occur because of the front-end filters' and multipath channels'
impulse response. This performance degradation, due to intersymbol (ISI)
and intercarrier (ICI) interference, is prevented by inserting a cyclic prefix
(CP) with a minimal length equal to the significant part of the equivalent
baseband channel impulse response [15]. The response of the channel in an
indoor WLAN system is typically short (<500ns in HIPERLAN/2 channel
models) but in combination with the transmit/receive filters, the length of the
total impulse response might exceed the cyclic prefix length.
This effect can be reduced to a certain extent by appropriate time
synchronization at the receiver, as described in [16] [17] [18] where the
optimal synchronization that minimizes ISI and ICI is explained. A
simulation tool has been described in [19] that efficiently analyses the
impact of a filter chain on the amount of ISI and ICI injected in the system.
This tool, which does not require lengthy BER simulations, plots for a user-
defined filter chain the Signal-to-Interference-Ratio (SIR) versus the
synchronization location. Examples of such curves extracted with this tool
are plotted on Figure 7.19 and Figure 7.20. A good design choice to design
the filter chain in an OFDM link is to make sure that the amount of ISI and
ICI injected is low enough on a synchronization range of a few samples (e.g.
SIR greater than 35dB for at least 5 samples).
Living with a real radio 145
146 Chapter 7
Living with a real radio 147
These curves prove to be even more interesting when considering the
additional impact on the delay spread introduced by multipath channels:
some architecture choices may be more robust with respect to ISI and ICI, as
exemplified in Figure 7.21 and Figure 7.22. It is worth mentioning here that
such curves do not guaranty low BER: high-enough SIR is only a necessary
condition for correct reception, but it is still possible that one or two data
carriers are in a dip of the multipath channel.
7.4.8 A front-end design example
Specifying a front-end is highly application-specific: type of environment
(indoor or outdoor, office-like or home-like), maximum distance for
transmission, type of data to be transmitted and corresponding Quality Of
Service (video streaming, data transfer, etc.), targeted product cost, etc. This
issue, which is especially sensitive in a multipath environment, as mentioned
in section 7.4.3, can not be addressed here, as it would require the definition
of a complete business model. However, it would be the main input for
decision on cost-performance trade-offs...
Instead, the simulation results for all front-end non-idealities considered
so far individually are now combined to as a set of specification for a super-
heterodyne transceiver with a first IF at 880 MHz, a second digital IF at 20
MHz and digital I/Q (de-)modulation. This transceiver consists of a single-
package RF module integrated in a BiCMOS process, and IF and baseband
modules implemented as a discrete board design on PCB (see chapter 8).
The specifications for the single-package RF module are:
Transmitter:
DAC word-length: 8 bits, with digital clipping at baseband at ;
linearity: back-off between the cascade input and average input
power ;
phase noise on the LO port of the RF mixer: total integrated phase
noise ;
I/Q imbalance: not relevant as digital I/Q (de-)modulation.
Receiver:
ADC word-length: 10 bits, assuming that the 4 MSBs are used to
code signal amplitudes above the signal RMS value (worst case for
quantization noise) ;
linearity: back-off between the cascade input P1dB and average input
power (a 3dB margin has been included to
allow for possible Crest factor re-growth in the channel) ;
phase noise on the LO port of the RF mixer: total integrated phase
noise ;
I/Q imbalance: not relevant as digital I/Q (de-)modulation ;
148 Chapter 7
ADC clock jitter: of at 80 MHz.
BER / PER simulations considering the complete transmit and
receive front-end models: The BER performances of an OFDM link
including this transceiver have been evaluated. Two cases have been
simulated:
Case 1: the transmitted and received signal powers are such that the
back-off between the cascaded P1dB and the average signal power are
minimal. This back-off is therefore of 5.4 dB for the transmitter, and
of 8.4 dB for the receiver ;
Case 2: the transmitter does not transmit at full power, and the
received signal strength is far away from the maximum specified level
of operation. As a result, the back-off between the cascaded P1dB and
the average signal powers have increased, so that the nonlinear
behavior of the transmit and receive chains is negligible. The BER
performance limitation is then due to the phase noise on the RF LO
signals.
Figure 7.23 plots the simulation results for various modes of the IEEE
802.11a [1] and HIPERLAN/2 [2] standards:
Living with a real radio 149
the implementation loss for the 54 Mbit/s mode at 10-5 BER is 2.2dB
when the transmitter operates at full power (case 1), and 1.6dB when
the transmitter operates at reduced power (case 2);
the implementation loss for the 48 Mbit/s mode at a BER of 10-5
equals 1.1 dB ;
the implementation loss for the 36 Mbit/s mode with a BER of 10-5 is
0.4dB.
7.5 CONCLUSIONS
In this chapter, an overview of the interaction between the mixed-signal
front-end and the OFDM digital modem was presented. This interaction
must be well understood to analyze the impact of a mixed-signal front-end
on the link bit error rate performance and to set at system level the front-end
specifications optimizing the design margins and the associated risks.
We have shown that basic assumptions on the degradation of the OFDM
signal due to some of the front-end non-idealities lead to a fairly good
approximation of the implementation loss. When possible, this approach
helps in setting a first operation point for more detailed investigations
However, analytical derivations of the link degradation are unfortunately
not always possible and often require over-simplified models. In such cases,
we proposed an approach based on an end-to-end system simulation tool that
outputs the BER versus signal-to-channel noise power ratio, for user-defined
front-end models. Such a tool is also helpful to verify the results obtained
with the first method, and it allows to take into account more complex
models of the front-end non-idealities, as well as multipath channel effects.
It is a powerful tool to get insight in the IL degradation along the complete
link due to front-end non-idealities, and to trade-off IL among the different
front-end non-idealities on the one hand, and IL in the digital part versus IL
in the front-end on the other hand.
As a driving application, the main mixed signal contributions of a super-
heterodyne transceiver have been considered to specify the overall link
performances. However, it was pointed at that this example lacks the
definition of a business model that would set additional constraints on the
cost-performance trade-offs in a changing multipath environment
REFERENCES
[1] Wireless LAN Medium Access Control (MAC) and Physical Layer (PHY)
specifications: High-speed Physical Layer in the 5 GHZ Band, IEEE std
P802.11a-1999.
150 Chapter 7
ETSI TS 101 475 V1.2.1A, April 2000: Broadband Radio Access Networks
(BRAN); HIPERLAN Type 2; Physical (PHY) layer
D.J.G. Mestdagh, P.M.P. Spruyt, et. al., "Effect of amplitude clipping in DMT-
ADSL transceivers", Electronic Letters, Vol. 29, No 15, pp. 1354-1355.
Influence of RF oscillators on an OFDM signal - C. Muschallik - IEEE
Transactions on Consumer Electronics, Vol. 41 No. 3 pp. 592-603, August 1995
Effect of carrier phase jitter on single-carrier and multi-carrier QAM systems -
T. Pollet, I. Jeanclaude, H.Sari - International Conference on Communications,
Vol. 2 pp. 1046 -1050, IEEE 1995
Analysis of the Effects of Phase-noise in Orthogonal Frequency Division
Multiplex (OFDM), systems - P. Robertson, S. Kaiser - International
Conference on Communications, Vol. 3 pp. 1652 -1657, IEEE 1995
Phase noise and sub-carrier spacing effects on the performance of an OFDM
communication system - A. Garcia Armada, M. Calvo, IEEE Communications
Letters, Vol. 2 Issue 1 pp. 11-13, January 1998
The effect of frequency errors in OFDM - J.H. Stott - Research and
development department technical resources, report BBC RD 1995/15
(http://www.bbc.co.uk/rd/pubs/reports/), BBC 1995
B. Come, R. Ness, S.Donnay, L. Van der Perre, P. Wambacq, M. Engels, and I.
Bolsens "Impact of front-end non-idealities on Bit Error Rate performances of
WLAN-OFDM transceivers", IEEE Radio and Wireless Conference
(RAWCON 2000), pp. 91-94, Sep 10-13, 2000, Denver, Colorado.
B. Cme, et al., "Impact of front-end non-idealities on Bit Error Rate
performances of WLAN-OFDM transceivers", Microwave Journal, Vol. 44, No.
2, pp. 126-140, February 2001.
P. Vandenameele, L. Van der Perre, et. al. , "An SDMA Algorithm for High-
Speed Wireless LAN", Globecom 98, pp. 189-194, Nov. 1998.
Channel models for HIPERLAN/2 in different indoor scenarios, ETSI EP
BRAN Meeting #3, Document 3ERI085B, March 30, 1998
B. Sklar, "Digital Communications, Fundamentals and applications", Prentice-
Hall International, 1988
Digital Communication Techniques: Signal Design and Detection, Marvin K.
Simon, Sami M. Hinedi, William C. Lindsey, Prentice Hall, 1995
R. Van Nee, R. Prasad, OFDM Wireless Multimedia Communications,
Artech House Publishers, 2000.
S. Muller et al., Analysis of frame- and frequency synchronizer for (bursty)
OFDM, CTMC Globecom, pp.201-206, November 1998.
T. Pollet, M. Peeters, Synchronization with DMT Modulation, IEEE
Communications Magazine, pp. 80-86 vol. 37 Issue 4, April 1999.
G. Malmgren, Impact of Carrier Frequency Offset, Doppler Spread and Time
Synchronization errors in OFDM based Single Frequency Networks, GTC
Globecom, pp. 729-733 vol.1, 1996.
B. Debaillie, B. Come, W.Eberle, S. Donnay, M. Engels, I. Bolsens, "Impact of
front-end filters on Bit Error Rate performances in WLAN-OFDM
transceivers", IEEE Radio and Wireless Conference (RAWCON), pp. 193-196,
Boston, Massachusetts, USA, August 2001.
M.C. Jeruchim, P. Balaban, K.S. Shanmugan, Simulation of communication
systems, Plenum Press, New York & London Section 2.11.5: Block Models
for Memoryless Nonlinearities, pp. 144-152
[2]
[3]
[4]
[5]
[6]
[7]
[8]
[9]
[10]
[11]
[12]
[13]
[14]
[15]
[16]
[17]
[18]
[19]
[20]
Chapter 8
Putting it all together
From theory to a working solution
Wolfgang Eberle
IMEC
8.1 INTRODUCTION
The previous chapters presented different challenges and solutions for
OFDM-based systems in the context of wireless local area networks. To
arrive at an actual implementation, we need to combine all this knowledge.
A case study of such an implementation is described in this chapter.
We will first define an application scenario for the system that will guide
the detailed specifications. These specifications serve as input to the
architecture selection phase. We opted for a discrete system set-up with off-
the-shelf components around an application specific integrated circuit
(ASIC) design for the baseband signal processing. Architecture and design
of this baseband chip is discussed next. The evaluation of measurement
results and design cost parameters leads to a discussion on future
improvements.
8.1.1 Focus on an application scenario
Imagine you want to build a wireless LAN transceiver right now. You
have studied the previous chapters and you are familiar with the required
ingredients. How would you start? The first question to ask is what
requirements should be met. Three can be distinguished:
the product should not disturb any other applications,
the product should be compatible with products of other vendors,
the client should buy the product.
The first requirement is achieved by following frequency regulation. It
limits the power at which transmission is allowed, puts restrictions on the
antenna gain and defines a transmission spectrum mask. The second
152 Chapter 8
requirement is handled by means of standards (cfr. chapter 4). This is where
most constraints come into play. Often regulation and standardisation are
linked tightly together, e.g. by allocating certain frequency bands for specific
standardised applications only.
The last issue is part of the business plan. Where can we make a point in
the market? To answer this question we need to come up with one or several
application scenarios. We next try to tune the system for those scenarios
within the constraints of standards and regulation. Remark that the definition
of an application scenario can have a considerable impact on the system
because it defines many performance criteria related to the quality of service
(QoS). For instance, video streaming requires a different error handling than
packet-based message transfers.
In our case, we decided to design the wireless LAN prototype for a
wireless webcam scenario, which was considered a sufficiently complex
environment to study performance and system integration issues.
Sufficiently complex means in this context that we will not only encounter
problems at one level, e.g. the RF front-end design, but we will face all
problems at all layers, be it protocol software, DSP hardware, or D/A and
A/D conversion.
Before we specify our requirements, let us first have a closer look at the
webcam application (Figure 8 2). At the application level, standard available
functionality is used, such as a webcam with a VideoForWindows software
interface, or viewer software at the receiver side. A combination of a
software stack and hardware (i.e. the AMCC board in the figure) is needed to
bridge between the computer platform and the actual wireless transceiver.
Remark that a computer platform is not a necessity: we could also use a thin
Putting it all together 153
multimedia terminal. The wireless communication set-up is partitioned into
the digital baseband functionality (digital board) and the front-end with the
antenna. The digital baseband employs a configurable FPGA.
Figure 8 2 contains already a first sketch of an architecture. Principally,
we could have restricted the discussion to the data flow of the application.
However, in practical design cases it is more common to take an
architectural view to describe the application scenario. The final architecture
is then derived by iterations between specification and architecture selection,
going from general requirements to detailed specifications.
8.1.2 Design goals
A wireless webcam can be employed both in a business or home
environment. As a consequence, the following constraints come into mind:
cost efficiency, easy maintainability, and compactness.
These goals guide us to IC design for the integration capability, towards
standard IC technologies for the cost, and into heterogeneous
software/hardware solutions for easy maintenance and upgrading options. In
the road towards integration, we first chose to focus on the digital baseband
ASIC as a custom design and to design the remaining system based on off-
the-shelf components. In the mean time, steps towards the integration of the
RF front-end have been undertaken, based on the experience with the
discrete set-up.
154 Chapter 8
For the initial specification, we decided to distinguish between hard and
soft constraints. Whenever reasonable and already known (the development
started before the standards where fixed), we tried to comply with the
standard. Our own ideas on performance improvements are reflected in
extended programmable parameter ranges or parameters instead of constants.
Table 8.1 gives an overview of the most import parameters for the two
ASICs that we realised, called Festival and Carnival.
Table 8.1 does only contain the most important hard design parameters.
Maximum clock rate, power consumption, and area were not constrained;
instead, the goal was to minimise them. First investigations during the
architecture selection revealed reasonable estimates such that constraints
Putting it all together 155
could be defined and costs could be predicted. As a consequence, the initial
specification was not complete and was developed during the prototype
exploration.
To reach an optimal solution for the physical layer and parts of the data
link layer of a wireless transmission scheme, we must deal with key
problems in three different fields (Figure 8.3): communications and signal
processing, architecture design, and design methodology. Indeed, the
previous chapters show that many different design factors influence the
system performance. An efficient design methodology is needed to support
the exploration of this vast search space. In addition, a smooth path from
model towards implementation saves time and allows iterations in the
exploration process.
8.2 THE BASEDBAND SIGNAL PROCESSING ASIC
As explained in the previous section, we decided to focus on the digital
baseband signal processing design first. Initial analysis [4] showed that an
ASIC solution is required to reach the desired throughput and to keep the
power consumption reasonably low at the same time. Consequently, we went
for an ASIC design. So far, two ASICs were designed with different
specifications and intentions.
The first ASIC, Festival, was already specified in 1998 prior to the
finalisation of the standardisation efforts in IEEE and ETSI. As a
consequence, the specifications differed in quite some points from the later
defined standards. For instance, it only supported BPSK and QPSK and it
156 Chapter 8
used a different preamble. However, the main target of the design was to
prove that a scalable and still flexible OFDM ASIC design could be
achieved [5], which by programming its parameters could come in the close
vicinity of the standard specifications. The design of this ASIC was
completed in the spring of 1999.
A second version, Carnival, followed Festival in the spring of 2000 [8].
With the IEEE standard being finalised only in the fall of 1999, the design of
the ASIC was already partially completed. We chose not to delay the design
for full compliance but rather tried to include the major requirements, such
as 64-QAM operation and full compliance with the OFDM symbol structure
including the pilots.
8.2.1 The ASIC architectures
Both ASICs implement the inner transmitter and receiver datapath
(Figure 8.4) required for a high-speed, wireless OFDM system employing a
half-duplex protocol suitable for standard-compliant time-division duplex
operation. Hardware resources such as the Fast Fourier transform are shared
between transmitter and receiver and various data reordering tasks are
merged into a centralised unit (symbol reordering).
A burst controller (BC) allows self-controlled processing of entire
transmission and reception bursts, reducing the load of an external medium
access control (MAC) or general-purpose processor. The transceiver only
requires initial programming of parameters and triggering of MAC requests
for transmission and reception and delivers status information through a
dedicated BC interface.
In transmission mode, payload data enters the ASIC on request, through a
6-bit parallel interface. The data enters the symbol mapper unit where bits
are mapped onto either BPSK, QPSK, 16-QAM, or 64-QAM subcarrier
constellations. A programmable number of zero carriers is introduced near
DC and/or the Nyquist frequency. The zero carriers around DC allow to
accommodate DC notch filtering, while the zero carriers around the Nyquist
frequency reduces the requirements on the low pass filters. A BPSK pilot
sequence is inserted either on a fixed subset of 4 carriers (according to the
standards) or using a rotating pilot pattern with a period of 13 OFDM
symbols. A complex value weights each subcarrier, allowing for transmitter
pre-emphasis and phase pre-distortion. The mapper provides a sequential
series of 64 carriers, for Festival also 128 or 256 carriers, to the IFFT,
termed an OFDM symbol. The mapper also adds an entire programmable
BPSK OFDM symbol serving as a reference sequence prior to the payload or
inserts it periodically into the stream of OFDM symbols.
The inverse FFT transforms the frequency domain constellation into a
time-domain sequence. Scaling and digital hard clipping is performed at the
Putting it all together 157
IFFT output to select a suitable peak-to-average power ratio (PAPR) and
signal-to-quantization noise ratio.
OFDM symbols are then passed to the symbol reordering unit (SSR) that
inserts the acquisition preamble and the cyclic prefix. The SSR sends data
sampled at the chip clock frequency through a 2x8-bit parallel I/Q interface
to the external digital IF stage. Setting the ASIC clock frequency to 20 MHz
results in a standard-compliant stream of OFDM symbols.
In reception mode, data is provided from an external digital low-IF
down-conversion stage in 2x10-bit format to the gain control and timing
synchronisation stage. The preamble serves to estimate received signal
strength, frame start, and carrier frequency offset (CFO). Before entering the
FFT, the CFO on incoming samples is reduced to about +/- 4 kHz resulting
in negligible inter-carrier leakage effects. Also, the guard interval is stripped
off forming again plain OFDM symbols of 64, 128, or 256 subcarriers. The
FFT translates them into the frequency domain where the SSR removes zero
carriers, identifies pilot carriers and reference symbols.
Payload-carrying sub-carriers are passed to the equaliser along with this
extracted information. The equaliser performs an initial channel estimate,
based on the BPSK reference symbol. In the Carnival ASIC, this estimate is
improved by interpolation. With this estimate being available, the acquisition
phase has finished and the data reception and tracking phase starts. During
the tracking phase, received data is still being compensated by the time-
domain CFO. The FFT timing is controlled and updated by a clock offset
158 Chapter 8
estimation and compensation algorithm. Fine frequency offset compensation
is performed in the equaliser in a decision-directed averaging phase loop,
updating the channel. Also, time variations of the channel are traced by
means of the pilot scheme, where rotating pilots outperform fixed pilots.
The equaliser divides the received constellation by its channel response
per sub-carrier and provides, through the demapper, either hard decision,
2x3-bit soft decision, or 2x6-bit high resolution output to e.g. an external
decoder or interleaver block.
Table 8.1 describes the major programming parameters for the two
ASICs. An OFDM symbol structure compliant with IEEE and ETSI
standards can be achieved by choosing 64 carriers, 16 guard samples, 0 zero
carriers near DC, 5 zero carriers near Nyquist, fixed pilot scheme, and a
frequency diversity factor of 1.
8.2.2 Joint Algorithm and Architecture Design
In this section, we will focus on the algorithms and architectures of the
major signal processing parts of the OFDM transceiver. We start with the
Fast Fourier Transform, move on to the centralised symbol reordering unit,
address time-domain based burst acquisition and finally come to equalisation
and tracking in the receiver.
8.2.2.1 Fast Fourier Transform
The complex Fast Fourier Transform (FFT) is the heart of an OFDM
system, converting frequency domain constellations to time domain and vice
versa. The high peak-to-average power ratio (PAPR) of multi-carrier signals
requires careful fixed-point exploration to maximise the performance/cost
ratio. Wireless burst operation requires an FFT with low latency and power
consumption.
A pipelined complex FFT architecture (Figure 8.5) based on radix 2-2
decomposition [3] has been chosen since it achieves both the simplicity of
butterflies from a radix-2 scheme and the low number of complex
multipliers from a radix-4 scheme. Every other multiplier is replaced by
rotator logic involving only multiplexing and sign inversion. Using simple
butterflies and less multipliers also simplifies control and allows a
straightforward design of a variable-length (i.e. 64, 128 or 256 subcarriers)
FFT. The IFFT operation is obtained by conjugation of input and output
signals.
Putting it all together 159
The radix 2-2 scheme requires the minimum amount of memory
locations. Memory is implemented as feedback register banks or dual-port
RAMs (128- and 256-word banks only) distributed along the pipeline,
starting with the maximum word-count according to a decimation-in-
frequency scheme. We benefit from the fact that the wordlength through the
FFT increases towards the output starting with a small input wordlength,
saving 25 % memory in 64-carrier mode compared to decimation in time.
Compared to a fixed-wordlength implementation, we achieve a reduction of
30 % in memory size from the fact that we start with 10 bits and end with 15
bits. We introduce a fixed scaling by 2 at every butterfly stage, so
wordlength increases only at every full multiplier. To derive the 2 unknowns
per multiplier, i.e. the post-multiplier datapath wordlength and the
coefficient look-up-table (LUT) wordlength, we performed a parametric
exhaustive search by simulation [17]. This search becomes feasible since we
have reduced the unknown wordlengths to only 4 in the 64/128-carrier and 6
in the 256-carrier case for the entire FFT.
Scaling and saturation at the output stage facilitate the implementation of
digital hard Cartesian amplitude clipping in the transmitter. In previous
chapter we have seen that the optimum performance requires a trade-off
between clipping noise and quantization noise. The choice between 5-bit and
8-bit outputs offers dynamic ranges from 30 dB to 48 dB, while the choice of
the clipping level results in different distortion and quantisation noise levels.
160 Chapter 8
Parameters are programmed according to the front-end, especially the power
amplifier non-linearity, and the QoS requirements.
There is a latency of one OFDM symbol between the input and the
output. In addition, the final FFT implementation has a core delay of 10
clock cycles resulting from 1 pipeline stage per butterfly and 2 per complex
multiplier. The FFT provides its output in bit-reversed order with post-
compensation of that effect in the SSR.
8.2.2.2 Centralised symbol reordering unit
OFDM symbols are meta-symbols compared to samples in conventional
single-carrier systems. This inherent scalability makes OFDM powerful.
However, to exploit this flexibility, architectures that support a discrete set
of parameter choices are required. In a conventional distributed design
process, the design would be first partitioned into modules and then
optimised locally per module. This would result in a large number of
distributed buffers that would be individually sized for worst case.
Putting it all together 161
Therefore, we investigated if a number of sample-reordering tasks could
be efficiently implemented with a central dual-port memory. To this end, we
analysed, based on a high-level dataflow description, the data transfers
between signal processing units, their intra-unit storage and the inter-unit
buffering requirements to handle the multi-rate issues. The flexibility in the
OFDM symbol leads to a large set of different I/O rates, requiring the
insertion of buffers. More specifically, we encountered buffering issues due
to bit-reversed reordering of the FFT output, removal of pilots and zero
carriers, despreading, insertion of the programmable length cyclic prefix,
and the preamble. We centralised the storage in a single unit (Figure 8.6)
consisting of two single-port RAMs with memory arbiters and a set of
address generators. Two address generators run in parallel, producing read
and write addresses respectively. The RAM access mode is toggled after
every OFDM symbol. This approach results in the minimum amount of
memory, i.e. twice the number of sub-carriers, without additional latency.
8.2.2.3 Time-domain burst acquisition
Wireless LAN systems are packet-based and minimise the preamble to
increase the efficiency of the physical layer. They can not tolerate loss of
initial data and are hence critically dependent on fast and accurate burst
acquisition. At the same time, the received signal is distorted by a number of
indoor channel and front-end effects. Receiver acquisition has to detect the
incoming signal, adapt its signal power, achieve timing synchronisation, and
compensate for the carrier frequency offset (CFO) introduced by local
oscillator mismatches in transmit and receive front-ends.
Fast acquisition prohibits the use of frequency domain signal processing
for timing synchronisation and CFO estimation, popular in wire-bound
systems with long acquisition preambles, like ADSL, or wireless
broadcasting systems, like DAB and DVB.
We have implemented a timing acquisition (Figure 8.7 a) based on a
two-phase auto-correlation process (Figure 8.7 b) using a programmable
BPSK time domain code sequence which is repeated according to a second
meta-level sequence. ETSI and IEEE standardised a different preamble
scheme, but the intrinsic signal processing concept can be maintained.
Since the sliding window correlator only requires a 2 x 1-bit input, it is
very robust against automatic gain control transients and results in a low
cost, low power implementation. A parallel sliding window signal power
estimation is used to validate the correlator results. Alternating bipolar
correlation peaks during phase 1 determine the relative code sequence start,
while the transition to phase 2 defines the absolute frame reference. The
receiver only uses information on the codeword length and the meta-level
sequence; the codeword itself is not known. Probabilities of false alarm and
162 Chapter 8
missing detection depend on the programmed numbers of peaks-to-detect in
phase 1 and phase 2, respectively. Phase 3 starts when phase 2 has obtained
enough confirmations and counts until the frame start.
Carrier offset is estimated by means of a repeated sequence of length 64,
128, 256, or 512, which follows the frame start. For multipath immunity
reasons the estimator is based on auto-correlation. A larger preamble size
trades off higher noise suppression against a lower capture range. Carrier
offset must be reduced to a fraction, usually 1-2%, of the sub-carrier spacing,
to achieve negligible inter-carrier interference in the FFT. A single-operator
sequential CORDIC converts the Cartesian estimate into a phase difference.
A phase accumulator reproduces the evolution of the phase caused by the
carrier offset. This phase is translated in Cartesian co-ordinates by means of
a pipelined CORDIC stage (Figure 8.8). The CORDIC uses a constant input
reference 1+j0 to provide a Cartesian output with a conversion accuracy
independent of the highly amplitude-varying receive signal.
Putting it all together 163
8.2.2.4 Frequency-domain channel estimation and tracking
The received signal after the FFT is still affected by multipath fading and
contains a remaining low carrier frequency offset. However, by a proper
choice of the sub-carrier spacing relative to the coherence bandwidth, the
FFT produces a highly oversampled channel response.
The equaliser can exploit this in two ways. First, it requires only a single
complex channel coefficient per sub-carrier, as the channel response is
independent for the different sub-carriers. Secondly, the rank of the matrix is
reduced, since high oversampling translates into correlated channel
coefficients. Thus, we can apply filtering to suppress noise and interpolate a
smoothed channel vector from a smaller set of coefficients. This has been
implemented in the Carnival ASIC, because the initial reference symbol-
based estimate was poor for the 16-QAM and 64-QAM cases. The Festival
164 Chapter 8
ASIC contains a simpler equaliser whose architecture we describe before the
more complex one of the Carnival ASIC.
The Festival equaliser (Figure 8.9) implements the basic one-tap
frequency domain equalisation, consisting of a single complex multiplier
with a coefficient memory to store the channel response [2]. The channel is
estimated by multiplying received initial or periodic reference symbols with
a known reference. A decision-directed loop estimates either individual sub-
carrier phase error or average phase error based on QPSK slicing. The
channel estimate is thus updated for phase only, tracking such effects as fine
carrier frequency offset or, to a limited amount, clock offset. Gain control on
I and Q parts, using a greatest common divider (GCD) algorithm [4],
stabilises the loop and prevents amplitude drift.
The Carnival equaliser (Figure 8.10) also uses the concept of a single
complex operator with coefficient memory. 16-QAM and 64-QAM
constellation schemes however require accurate amplitude correction, which
is performed by a complex divider. In addition to initial and periodic
reference symbols, to update part of the channel, a pilot pattern is sent with
every symbol. The channel estimate obtained from a single reference symbol
still contains a considerable MMSE error (Figure 8.11).
A channel interpolator (Figure 8.12), consisting of an initial noisy
stage with the CFO phase error update, is followed by a cascade of 4 blocks
implementing the matrix operation:
Putting it all together 165
S is a 64x9 programmable complex coefficient matrix. The first two
stages transform the noisy channel estimate into an impulse response vector
of length 9, effectively suppressing any noise beyond the tap. The last
two stages reconstruct the full 64-tap frequency response from this truncated
impulse response vector [2]. The first three stages employ full parallelism
such that an interpolated channel tap is available after one OFDM symbol
latency.
166 Chapter 8
Coefficient sets are stored in 9 RAMs next to a pre-programmed set in a
look-up-table (LUT). The interpolator is also used during tracking,
improving the channel estimate by 2.5 to 3 dB. Together with the rotating
pilot scheme it is also able to suppress spurs, e.g. from the equaliser
feedback loop, reducing error propagation.
Clock offset between receiver and transmitter sampling clock does not
only have an impact on the sub-carrier phase. Indeed over the burst length of
2 ms for HIPERLAN/2 or up to 5 ms for IEEE 802.11a, it can shift the
actual OFDM symbol out of the FFT frame leading to a low signal-to-
interference ratio. Maximum clock offset values according to the standards
are as high as +/- 40 ppm of the 20 MHz system reference oscillator.
The clock drift is estimated by correlating the cyclic prefix with its
original counterpart in the same OFDM symbol (Figure 8.13 a). The
correlation peaks are determined and averaged over more than 32 OFDM
symbols to reduce the impact of noise. Compensation occurs by either
dropping an entire sample from or adding one to the cyclic prefix (Figure
8.13 b), resembling a sigma-delta architecture. The shifting events are
communicated to the equaliser to adapt the stored sub-carrier phases to the
instantaneous sample shift.
Putting it all together 167
8.2.3 Integration
The previous section proposed a set of signal processing algorithms and
architectures to solve individual problems. Next, we need to integrate them
into a complete system. Ease of integration is essential to guarantee that the
system can be designed with reasonable effort assuming limited support
from electronic design automation (EDA) tools.
Easy of integration means that we need to pay special attention to
reducing the data transfer and storage costs between design units [1], to
simplifying the system control and to ease the clocking strategy. Also the use
of an EDA framework for system integration can be beneficial for the
system design.
8.2.3.1 Communication and storage
Wireless LAN transceivers require both high throughput and low latency,
leaving limited space for sequential processing. The FFT processes about 1
Gops/s while the channel interpolating part of the equalizer needs 1.7 Gops/s
and a memory bandwidth of 3.4 Gbps. Higher clock speeds could reduce the
needed parallelism, but introduce more data caches to adapt between the
different rates. However, this multi rate problem can be solved by either
sharing a common memory or by a distributed memory approach depending
on the local processing needs. Remark that the multi-rate problem is present
anyway because of the flexibility that was introduced in the ASICs.
For the FFT, a distributed memory architecture was found to be superior
to a single memory running at higher clock speed with respect to its data
transfer-related power consumption. On the other hand, a number of sample-
reordering tasks were efficiently implemented with a central dual-port
memory of minimum length in the SSR. Both solutions efficiently use the
memory transfer bandwidth while maintaining a regular access pattern. The
final on-chip datapath does not contain any caching beyond the minimum
required by the signal format defined in the standards. This caching latency
is two OFDM symbols for both receive and transmit path evenly divided on
FFT processing and bit-reverse reordering.
All design units contain their own local register banks to store
programming parameters. This supports the IP (intellectual property) block
concept, which is important for later reuse. It also significantly cuts
interconnect dependencies between design units, a benefit for the layout
stage. Multiple instantiations in case of common parameters have negligible
cost. A single write address for the same parameter in all units and
individual read addresses guarantee correct programming and easy
verification.
168 Chapter 8
8.2.3.2 Token-based distributed control
To stress the IP concept, a generic communication protocol is required
between all design units. We implemented a scheme based on token
semantics that follows the natural data flow through the transmit and the
receive path (Figure 8.14). A closed token-loop scheme is used between the
burst controller and the datapath. Tokens contain three types of information:
meta-symbol start, burst state information (BSI), and dynamic datapath
information (DDI). Tokens are not sent at the sampling rate, but at the rate of
meta-symbols, i.e. at OFDM symbol rate. The BSI indicates reference
symbols and the last symbol of a burst and is returned by the last unit in the
datapath to indicate that an entire burst has been completely processed. DDI
can be added to a token by any datapath block to transfer data-dependent
information synchronously with the current symbol to another unit down the
processing chain. For example, the clock offset estimation unit uses this to
inform the equaliser in case of a FFT frame timing shift. The token scheme
scales with multi-rate and simplifies also the design task, since a token
arrival time window is defined instead of a discrete point in time, keeping
detailed unit latency information locally.
8.2.3.3 Clocking strategy
Low power operation is crucial for portable operation. The clock tree
dominates the power consumption in synchronous systems. However,
analysis of a typical receive scenario reveals that the receiver remains a
considerable amount of time in listening mode searching for a receive signal.
Reducing power dissipation on the average compared to the peak power
consumption has been achieved by matching activation of units with the time
windows they are effectively required from the networking protocol and
Putting it all together 169
burst format point of view. Clock gating with a state-based activation was
used to implement this behaviour. The burst controller and decentralised
smart senders (Figure 8.15) control the clock generation. We also use clock
gating to implement multi-rate interfaces between units. Transitions between
units operating on different clocks are facilitated through retiming on a
common inverted core clock, reducing the potential skew complexity from
to O(n), with n being the number of clock signals.
The ASICs are master for all datapath interfaces and provide on-chip
generated clock signals. These clocks are generated locally to the other
interface I/O signals to allow joint skew optimisation.
8.2.3.4 Object-oriented design methodology
The demands of high-speed modems in terms of latency, area, and power
dissipation are approaching the technological limits. Therefore, a joint
optimisation of algorithm and architecture is required to come to a feasible
solution. Many of the blocks in the OFDM architecture are flexible. To
investigate reasonable parameter ranges and their interdependencies a fast
high-level simulation model is required. On the other hand, the architecture
must eventually be implemented in silicon, and, consequently, a smooth path
from the high-level simulation model towards a synthesizable register
transfer (RT) description is vital. In this process, architectural exploration
must be maximally supported.
We started with a high-level dataflow model in C++, using the OCAPI
[15] hardware libraries. The OCAPI technology supports the gradual
refinement of an object-oriented C++ model starting from behavioural code.
Its application to the design of the OFDM transceiver consists of several
phases:
1.
2.
3.
4.
behavioural description of the algorithm using a set of class libraries to
express data-flow semantics;
design partitioning in which functionality is being grouped in larger
entities to be mapped onto single hardware units;
scheduling of the operations inside each entity to get a clock cycle-true
description and formal mapping to finite-state machines (FSMs) and
signal flow graphs (SFGs) resulting in a register-transfer description;
automatic generation of synthesizable RT-level HDL code.
Performance evaluation, algorithm selection, fixed-point refinement, and
functional partitioning were performed on the C++ dataflow model. Object-
oriented design gives the designer freedom to design generic classes that
construct hardware from given user constraints. Inheritance and fully
parametrizable, hierarchical instantiation are strong assets for a clean code
database. The transceiver, for example, is instantiated twice and configured
either as transmitter or receiver just at the top level. Internally,
170 Chapter 8
interconnection and scheduling are optimised for simulation speed or for
hardware operation matching. Also, on-the-fly reconfiguration is possible
during simulation.
The C++ dataflow model was refined towards a C++ description based
on integrated finite-state-machines and datapath (FSMD) blocks. It is
important to start exploration of data transfer and storage issues already at
the dataflow level [18], since this prevents frequent and time-consuming
loop back between the FSMD and the dataflow design. Refinement includes
mainly operator sharing and scheduling. VHDL RT-level code is generated
automatically from the C++ FSMD description. An option for Verilog is
available too. Both Festival and Carnival make use of existing native VHDL
code. These units, developped prior to the C++ design, were modelled as
abstract dataflow blocks to obtain a complete dataflow end to end link.
Carnival also used native Verilog code, showing that a C++ entry-level
approach can be integrated into a heterogeneous design flow.
From RT on, a conventional standard cell design flow is followed with
logic synthesis, floorplanning, and layout steps. Clock tree routing was
performed at layout level and included into the back-annotation.
During the design process, simulation-based verification is used
extensively to track correctness of the design refinements. C++ based
simulation is used during the system-level design phases, HDL-based
simulation is used during the synthesis and back-end flow. Generated HDL,
gate-level and back-annotated gate-level netlists were all verified against the
same test vectors generated from the C++ dataflow model. Extraction of
Putting it all together 171
simulation results from RT and gate-level simulation only requires
synchronization of control token flow and dataflow at the top level of the
design to match the different abstraction level. This was the only HDL code
modification required to execute all testbenches.
8.3 THE DISCRETE SYSTEM SET-UP
The first version of the demonstration set-up was implemented on a set of
printed circuit boards (PCBs) (Figure 8.16). A PC platform was equipped
with a digital PCI-based multi-function data acquisition card (AMCC S5933
board). Cables for data transfer and protocol connect to two custom designed
boards: the baseband signal processing board and from there to the digital IF
board. The baseband signal processing boards hosts the custom designed
OFDM ASICs. Commercially available analog-to-digital conversion and
digital-to-analog conversion evaluation boards were used to bridge to the
radio front-end. The radio front-end itself was implemented in a stack of
three boards: a synthesizer board, the IF board, and the RF board. The power
amplifier was implemented on a separate board including the cooling
facilities.
172 Chapter 8
8.3.1 Software and Protocols
For the webcam application, we have chosen a PC as platform. In
combination with different operating systems (MS Windows and Linux), this
guarantees a fast development for the driver software and enables us to use
off-the-shelf application software. An AMCC multi-function board connects
the PCs PCI bus to the digital baseband board. The kernel drivers use direct
memory access (DMA) to access the digital board resources through the
AMCC board in a transparent way.
The software protocol stack was implemented on two platforms (Figure
8.17): Windows 95/98 and Linux. The Windows software protocol stack
could be developed faster due to available drivers, but it was also limited in
its flexibility. The Windows version including a graphical user interface
(GUI) was primarily used in the beginning phase when testing the interaction
between the application and the FPGA-based hardware protocol stack. To
eliminate the limitations, a Linux-based protocol stack was implemented:
first a simple testbench with automated self-tests and then the actual webcam
demo.
The protocol code is subdivided between the FPGA and the PC-based
kernel driver. The hardware MAC architecture on the FPGA (Figure 8.18) is
centred around a CPU with customised instructions. The remaining FPGA
resources are mainly used for different interfaces:
short First-In-First-Out (FIFO) buffers for data traffic buffering between
the AMCC card and the FPGA,
a microprocessor programming interface (MPI) for programming of the
ASIC and the convolutional encoder/decoder;
a serial interface to control front-end resources (e.g. automatic gain
control, power-up/down, carrier frequency selection)
Putting it all together 173
The hardware MAC is responsible for the burst timing itself. Data
framing or the start-up sequence including programming of the ASIC is
accomplished by the hardware MAC without software MAC support.
Instructions from the PC software MAC to the FPGA hardware MAC occur
on basis of acknowledged messages and are available at different abstraction
levels:
a) Burst level
Start communication: This command tells the FPGA to switch the
system in transmit or receive mode. Whenever data arrives, the system
starts processing it.
b) Resource level
Read from ASIC: This command tells the FPGA to read at a certain
address in the baseband ASIC.
Write to ASIC: This command tells the FPGA to write a certain value
at a certain address in the baseband ASIC.
Start timer: This command starts a timer in the FPGA.
Serial communication: This command lets the FPGA communicate
with the IF modulator/demodulator board.
Timing-critical sequences are pre-programmed. They are called when a
burst level message arrives and use the facilities of the lower resource level.
The software MAC can be informed of time-out situations through watchdog
functionality based on the FPGAs timers.
174 Chapter 8
From a networking point of view, the webcam application requires only a
point-to-point protocol. Apart from the physical layer implementation, a
limited set of data link control (DLC) functions was implemented, such as an
automatic packet numbering. Provisions were taken to hook up the custom
kernel functions to TCP/IP.
The data to transmit is prepared in a buffer in the PC memory by the
driver. The driver then writes commands (e.g. program festival, enable front-
end, start loading FIFOs) to the OFDM baseband board. The FPGA
interprets the commands and then reads the data from the PC memory and
writes it to the TX-FIFO on the baseband board. The Festival ASIC is
switched to TX-mode, reads the data from the TX-FIFO and sends out its
digital I/Q signals to the IF modulator/demodulator board.
The PC software driver allocates a buffer, where the received data will be
put, in memory. The driver then sets up the OFDM Baseband board for RX
mode. When the Festival ASIC receives its data, it writes the data to the RX
FIFO on the OFDM Baseband board. The FPGA detects that there is data in
the RX FIFO and writes it to the buffer in PC memory.
8.3.2 Baseband signal processing
The baseband signal processing part is implemented on a separate board
(Figure 8.19). Around the central OFDM signal processing ASIC, a number
of support processors are grouped (Figure 8.20):
a convolutional encoder/decoder chip;
the FPGA handling the data transfer between ASIC, FIFO, and PC and
performing the control of the baseband board (see section 8.3.1);
a transmit and a receive FIFO for data buffering between the FPGA and
the ASIC.
Once activated for transmit or receive mode, the ASIC communicates
through a FIFO-based transmit and receive interface as a master with the PC
in a slave position. In transmit mode, the ASIC requests data for
transmission when ready. In receive mode, it passes the received data to the
PC.
To implement the tasks of the outer transmitter (receiver), the FPGA can
redirect data through the convolutional encoder (decoder) or it can scramble
(descramble) the date. The configuration code for the FPGA can be either
loaded from an on-board EEPROM at start-up or programmed through a
serial interface from the same PC.
Towards the front-end, the ASIC provides digital I/Q interfaces. Either a
pair of ADCs/DACs or a digital IF stage can be connected. Additional
signals are provided to support analogue automatic gain control in the
receiver and to control power-up and down in the front-end.
Putting it all together
175
176 Chapter 8
The chip features an asynchronous microprocessor interface for
programming. An additional 5-pin direct control interface allows the MAC
to select one out of four operational modes (transmit, receive, programming,
and sleep) and watch the status of those modes. Any intra-unit data bus can
be monitored parallel and at full clock speed through an external test
interface. For example, this bus can provide an adaptive loading extension or
a decoder with the channel estimates.
8.3.3 Establishing the wireless connection
The radio front-end is required to translate the baseband signals to and
from the carrier frequency in the bands allocated for the WLAN application
in the 5 GHz. The OFDM ASIC provides an I/Q interface to the radio front-
end. Samples are provided and expected at the minimum, i.e. 20 MHz,
sampling rate. In chapter 7, we have already discussed the specification for
the design of the front-end.
Two front-ends with different implementation details are briefly
described. The first is a discrete superheterodyne front-end with an
additional digital intermediate frequency stage for the final conversion to
and from baseband. The second one is also based on the superheterodyne
principle but is far more integrated using chip-package co-design [19]. It
converts to and from baseband by subsampling.
8.3.3.1 Discrete superheterodyne front-end
The discrete superheterodyne front-end (Figure 8.16) consists of three
boards: a synthesizer board providing the fixed 430 MHz intermediate
frequency and the tunable 5 GHz local oscillator signals for the mixers to the
Putting it all together 177
IF board and the RF board respectively. The analog baseband signal is
centered around 20 MHz and sampled at 80 MHz by a single DAC/ADC. A
digital intermediate frequency conversion stage, implemented in a separate
FPGA (Figure 8.21), translates this IF to baseband including the low-
pass filtering. A digital IF reduces the imbalance between I and Q paths
compared to an analog quadrature mixing stage. The power amplifier was
placed on a separate board.
8.3.3.2 Towards an integrated front-end
A first step towards integration was the packaging of the RF section and
the IF band selection filter into an integrated RF module implemented in a
mix of active BiCMOS devices on an Multi Chip Module (MCM-D)
substrate (Figure 8.22). The use of MCM-D allows different trade-offs for
the quality of passive components, especially for inductances, which led to a
different frequency planning: the IF was chosen at 900 MHz, the IF at
140 MHz. ADC and DAC still sample at 80 MHz, working now in a
subsampling mode. The baseband signal appears now at 60 MHz.
An optional external RF local oscillator was foreseen. The IF section is
not yet integrated. For heat dissipation reasons, the power amplifier remains
178 Chapter 8
outside the package. All controllable elements in the front-end, TX/RX
switch, variable gain amplifier (VGA) gains, and LO frequencies are
controlled by the FPGA on the baseband board (see section 8.3.1).
8.4 LEARNING FROM RESULTS
Now that we are familiar with the system architecture and the dedicated
baseband ASIC that both have been implemented, we can move on to
evaluate this design effort. Our focus will be on the custom baseband design
where we have most insight.
We will have a look at its performance and the design process. The fact
that two ASICs were developed gives an interesting opportunity to evaluate
reuse questions, too. Of course, we have to ask what is missing to make this
system fully compliant with the standard and discuss the relevance and the
effort for these modifications. Having solved the key problem in OFDM
baseband signal processing for wireless LANs, other issues become more
apparent. We will see that the sensitivity of the remaining problems to the
architecture choice is even higher and almost always require a joint design of
front-end and baseband signal processing. Automatic gain control and
power-efficient transmission will serve as examples.
8.4.1 Measurement results and performance comparison
Our demonstrator goal was the implementation of a complete wireless
webcam. This goal was achieved by a heterogenous approach combining the
development of software from the application to the machine/kernel level,
FPGA design, ASIC design, standard PCB design, and RF design.
8.4.1.1 Application Tests
Both ASICs were tested in the experimental set-up. Tests started with
wired connections at the digital level, followed by analogue baseband, IF,
and finally RF connections. Then we moved on to wireless tests, employing
commercial dipole antennas at the 430 MHz IF and finally the 5 GHz range.
Two identical platforms were built for this purpose (except for the power
amplifier). At all levels, full application tests with a webcam image
transmission, video transmission, and file transfers were successfully run
between two of these platforms over the air.
Putting it all together 179
8.4.1.2 ASIC performance
Both ASICs have been implemented in digital CMOS technologies:
Festival in a 5LM Alcatel Microelectronics and Carnival in a
6LM National Semiconductor process (Figure 8.23). Both designs were
pad-limited with 144 and 160 pads respectively. The nominal clock rate is
specified up to 50 MHz for Festival and up to 20 MHz for Carnival. Both
ICs use embedded SRAM for datapath and parameter storage, being 9 units
in Festival and 19 in Carnival.
A fair comparison at the same data rate and overhead between Festival
and Carnival (Table 8.2) shows the superior spectral efficiency and energy
efficiency of the latter at the cost of a moderate area increase of 30 %. The
highly programmable equaliser occupies 63 % of the area in the 64-QAM
chip compared to 10 % for the FFT. By fixing the coefficient set for the
interpolation, the area of the equaliser in the Carnival can be reduced to
below 50 % of the total area.
Power consumption has been measured separately for 1.8V core and
3.3V I/O supply for the Carnival ASIC in typical transmit, receive, and
programming scenarios. During transmission, 156 mW I/O and 43 mW core
power consumption were observed. During reception, the much higher core
activity dominates with 146 mW compared to a lower 66 mW I/O
consumption due to less I/O switching. In programming mode, logic
switching is zero but all clocks are enabled, leading to 35 mW I/O and 81
mW core consumption.
180 Chapter 8
8.4.1.3 Design experience
Design of transceivers involves more and more system design trade-offs.
The design of a specific component such as the digital baseband processor,
cannot be seen in isolation of the system. Indeed, the modelling of the entire
transceiver chain, including the front-end and parts of the higher protocol
layers, becomes more and more important to optimise throughput and to
minimise implementation loss.
We have also encountered the commonly faced code explosion (Table
8.3) during model refinement, but we have not suffered from it during the
refinement process. The scalability and the code generation capabilities of
our C++ design approach has saved us from costly iterations involving code
rewriting. Also, the use of object-oriented techniques helped us to reduce the
amount of code required for the C++ system testbench. The majority of the
11,000 lines of system testbench code were reused for testing the transmitter
with the receiver by applying different abstraction levels to both of them, i.e.
an ideal transmitter with a fixed-point receiver to evaluate the receiver's
implementation loss.
The fact that the Carnival design was based on the Festival design, allows
us to conclude on design reuse issues as well (Table 8.4). Except for design
Putting it all together 181
units that required an entirely new algorithmic approach, e.g. the equaliser,
reuse was fairly high. The reasons for this were:
use of unified token flow for control purposes;
consequent parameterised design;
partitioning based on data transfer and storage costs, leading to less
design dependencies and simpler constraints.
The choice of a scalable multi-processor architecture with distributed
control using token semantics allows to maintain a high degree of flexibility
and programmability. A high code reuse percentage in the Carnival design
proved the scalability. The object-oriented, FSMD-centric design approach
using C++ has shown its strength at higher abstraction levels for system
exploration and at FSMD level for HDL generation in a heterogeneous
mixed-language flow.
8.4.2 Towards or beyond full standard compliance?
The realisation of two digital baseband signal processing ASICs,
achieving bit rates up the 54 Mb/s with moderate technology constraints and
area costs, show the viability of cost-efficient deployment of broadband
wireless indoor systems both for the consumer market and business
applications. Spectrally efficient 64-QAM constellation puts high
requirements on transceiver performance.
We have shown that novel digital signal processing techniques such as an
interpolating equaliser, rotating pilots, and guard-interval based clock offset
estimation can cope with the multipath channel and analogue front-end
182 Chapter 8
impairments. On the other hand, we have not developped a fully
HIPERLAN/2 or IEEE 802.11a compliant physical layer implementation.
8.4.2.1 Beyond the standard
Instead, we came up with a number of add-on's that can improve the
performance of a wireless LAN system:
a simple frequency diversion scheme using spreading in the frequency
domain was implemented; this scheme lends itself also to measuring the
channel correlation;
a facility in the symbol mapper to adapt amplitude and phase per carrier
at the transmitter; this can be used for predistortion and accurate gain
calibration in an adaptive loading scheme [11];
flexible number of carriers; additional carriers can be nulled out or
normally unused outer carriers could be used to reduce the peak-to-
average power ratio;
as an option, entire OFDM symbols can be inserted as reference symbols
into the burst instead of pilots. The insertion frequency can be chosen and
e.g. result in the same overhead as a pilot-based approach;
a rotating pilot scheme was added to improve the performance of the
channel estimation during tracking compared to fixed pilots with the
same overhead and negligible design cost. Similar schemes showed their
benefits already for terrestrial OFDM-based DVB-T.
8.4.2.2 Towards the standard
All these add-ons are implemented as options and can be disabled, too.
If all special enhancements are disabled, we are quite close to the standard
implementation. The remaining differences are:
Preambles are different for ETSI and IEEE. Festival and Carnival ASICs
are not compliant with any of them (Figure 8.24). This affects
synchronisation and the initial channel estimation algorithms. However,
similar principles were used such that the proposed algorithms and
architectures (section 8.2.2.3 and 8.2.2.4) remain largely applicable.
Carnival and Festival implement the inner transmitter/receiver only.
Fortunately, the development of the corresponding compliant outer
transmitter/receivers is straightforward since common interleaving,
scrambling, and encoding/decoding algorithms are used.
automatic gain control (AGC) has not been integrated yet into the
synchronisation. Currently, AGC is implemented independently in the
digital IF FPGA. This leads to a suboptimal signal frame detection.
On the one hand, the preamble appears to be the only major modification.
For the rest, only extensions are required. On the other hand, this means that
Putting it all together 183
our current solution may be suboptimal in general. A new optimisation,
including the extensions, may be required.
8.4.3 Optimizing at the system level an outlook
Assume we modify the previously described architecture such that it fully
meets the standard, how far are we away from the optimum? At least we can
say that it is unlikely that we are at the optimum already, since we still used
local optimisations in our architecture proposal. We tried to optimise the
digital baseband ASIC for certain goals (see section 8.1.2), but we never did
the same to the system architecture.
This means that there must be still room for improvement. Certainly, we
can improve individual components further, but losses will become clearly
visible at the interfaces between the components of the system. One
performance issue is the latency and memory requirements of the packet
handling between physical layer and MAC. Another is finding the optimum
position for the analogue/digital boundary between the analogue front-end
and the digital signal processing.
Two examples from the physical layer, automatic receiver gain control
and power-efficient transmission are discussed to illustrate the need for a
more global system optimisation.
8.4.3.1 Example 1: Automatic Gain Control
The range of signal strength at the receive antenna over which a
compliant WLAN receiver has to be able to detect the input signal and
decode it, is defined by the maximum input power and the sensitivity level.
For the worst case of the standards, we end up with 56 dB of gain variation.
Over this range, we have to accommodate any signal strength and produce a
signal at the input of the digital receiver with sufficient signal-to-noise ratio
for our desired bit error probability. This is the task of the automatic gain
control.
A single analog or digital gain stage is not able to meet our requirements:
184 Chapter 8
no analogue gain control would require a very wide dynamic range and
thus a large wordlength of the analog-to-digital converters. At sampling
rates of 20 MHz or larger this translates into high power consumption.
On the positive side we could achieve very good accuracy.
no digital gain control would require very accurate and wide-range
analogue variable gain amplifiers. This again increases cost without need.
An additional constraint is the amount of time available in the preamble
to estimate the actual signal strength and adapt the gain settings. Taking into
account the needs of the other estimation processes (coarse frequency
estimation and timing estimation), the part of the preamble that can be used
for AGC and signal detection can be established. For HIPERLAN/2 this is
equivalent of 4 short training sequences (STS), while the first 4 short
symbols can be used in IEEE 802.11a. This gives us a budget of Non-
linearities due to clipping or quantization at the ADC or, the limited
accuracy of an analogue received signal strength indicator (RSSI), may
require more than one estimation and gain adaptation step.
Within these constraints, the gain distribution and the optimum boundary
between analogue and digital functionality must be optimised.
A typical gain control architecture for a superheterodyne front-end
(Figure 8.25) applies a mix of both digital and analogue gain control. A
switchable low noise amplifier (LNA) allows both a high IP3 in case of
strong input signals and a low noise figure in case of weak ones. The IF
VGA helps arranging the analogue signal optimally within the dynamic
range of the ADC. Finally, the digital gain control allows us to fine-tune
before the filtering and again after, when out-of-band interferers have been
suppressed.
The same mix exists for the estimation process. We could either
implement a purely analogue RSSI or a digital signal strength estimator.
Again, we have a trade-off between accuracy and implementation cost.
Figure 8.25 shows a digital signal strength estimate before and after the
IF band-select filter; the difference between those measurements is an
indicator for the amount of out-of-band interference (including an aliasing
error).
The optimisation process iterates on a cascade analysis. Criteria are the
cascaded noise figure and linearity requirements. Clipping and quantization
in the ADC sampling process introduce noise and non-linearity. The gain
settings of each variable gain element are the tuning parameters. They are
specified through tuning ranges or discrete settings, e.g. for the switchable
LNA. From the optimisation, we obtain the switching points, as a function of
the input signal power, between different gain configurations. The process is
successful if we can find a valid gain setting for the entire specified input
signal strength range for a specific set of front-end constraints.
Putting it all together 185
If the gain estimation is digital, additional constraints come from the
estimation error due to the clipping non-linearity (Figure 8.26). For signals
186 Chapter 8
in strong saturation the estimation error increases quickly. For the signal-to-
noise ratio, there is an optimal gain setting.
8.4.3.2 Example 2: Power-efficient transmission
Did we encounter a problem with power-efficient transmission so far?
Not in the digital baseband design since the core power consumption was
dominated by the receive mode. Unfortunately, we have seen that the
problem of power efficiency is linked to the power amplifier in the transmit
front-end. This is due to the high peak-to-average power ratio of the OFDM
transmission scheme.
Traditionally, wireless systems were defined for smaller user bandwidth.
Spectral efficiency was much less important and was consequently traded-
off for less amplitude modulation and thus a smaller PAPR. Frequency
modulation (FM) or GMSK (as used for the GSM mobile phone networks)
have a more constant envelope. Wireless LANs however demand a large
amount of signal bandwidth. Thus, we have to live with a non-constant
envelope and improve architectures and circuits.
The power amplifier in the transmitter represents the power consumption
bottleneck in todays systems. Power-efficient amplifiers of e.g. class C, D,
E, ... exist, but they can not be used to transmit non-constant envelope
signals since their strong non-linearity introduces severe distortion of the
wanted signal (constellation warping). Also, power leaks into adjacent bands
increasing interference for other systems (spectrum regrowth).
Conventionally, a rather large back-off is used for power amplifiers to
operate them in their linear range, at the cost of a considerable reduction in
power efficiency. Linear amplifiers of class A match the linearity
requirements but fail concerning power efficiency.
Basically, an improvement of the amplifier linearity will not improve the
situation, especially if we also consider transmit power control. The
amplifier should maintain its efficiency over a large output power range.
Therefore, linearization techniques try to transform the signal to transmit
into another or a set of other signals with different properties. Linearization
reduces constraints on the power amplification stage at the cost of increased
complexity, typically leading to architectures with multiple transmission
paths.
Rather than focussing on the power amplifier alone, we should focus on
the entire transmitter. A generic architecture of a transmitter (Figure 8.27) is
fed with data from an information source. The signal pre-processing
performs the processing steps at the physical layer, including outer (e.g.
coding) and inner modem functionality, on the data. The pre-processed
information is passed to the analogue chain that performs upconversion steps
and the main amplification (power amplifier).
Putting it all together 187
Transmitter control and calibration can be fairly simple for narrow-band
transmission, constant-envelope signals, or short range communication.
When it comes to OFDM, however, a wide bandwidth (3 bands of more than
450 MHz), a power control range of 45 dB, and signals with a large PAPR
must be handled efficiently. In addition, distortion must be avoided. In this
case, operating points must be tuned towards the current requirements:
ranging from the average output power requirement during a burst down to
even an adaptation per sampling period.
Our design goals can be summarised as follows:
1. power efficient amplification of non-constant envelope signals to
enable a bandwidth-efficient modulation scheme like OFDM;
2. power efficient transmit power control to both reduce the interference
for other systems and to reduce the power consumption, thus
increasing the battery lifetime for wireless devices;
3. short response and transmit-receive TDD/TDMA turn-around time to
reduce the protocol overhead in TCP/IP packet-based or ATM cell-
based transmission. This has impact on power-up/down ramping and
on calibration.
An optimisation without a cost function is impossible. Even a simple
architecture may meet some of these three goals partially, i.e. for a limited
parameter range. This means that we do not need any improvement there.
Thus, first, we have to define the cases (for example depending on output
power and modulation scheme), where we need further improvement, how
much, and what cost function to use.
At low output powers of 15 dBm to 0 dBm (1mW) for example, the
power dissipation of a class B power amplifier is negligible compared to the
power dissipation of the physical layer (which is in the orders of several 100
mW). An improvement of the power efficiency of the PA alone in this case
will not lead to a significant drop of the total power dissipation.
188 Chapter 8
This example clearly shows that we end up with a set of operation
regions that require a different kind of improvement. This process is
decision-driven and operates on a set of. tunable variables. Thus a mixed-
signal architecture involving digital control elements seems the most
feasible. The actual digital/analogue partitioning and the definition of the
switching rules are the goals of the optimisation.
REFERENCES
[1]
[2]
[3]
[4]
[5]
[6]
[7]
[8]
[9]
[10]
[11]
F. Catthoor, S. Wuytack, E. De Greef, F. Franssen, L. Nachtergaele, H. De
Man, System-level Transformations for low data transfer and storage, in Low
Power CMOS Design, edited by A. Chandrakasan, R. Brodersen, IEEE Press,
pp. 609-618, 1998.
L. Deneire, P. Vandenameele, L. Van der Perre, M. Engels, B. Gyselinckx, A
Low Complexity ML Channel Estimator for OFDM, in Proc. IEEE 2001 ICC,
June 2001.
A. M. Despain, Very Fast Fourier Transform Algorithms for Hardware
Implementation, in IEEE Trans. Computers, vol. C-28, pp. 333-341, May
1979.
W. Eberle, M. Badaroglu, V. Derudder, S. Thoen, P. Vandenameele, L. Van der
Perre, M. Vergara, B. Gyselinckx, M. Engels, I. Bolsens, Flexible OFDM
Transceiver for High-Speed WLANs, in Proc. IEEE 1999 VTC Fall, vol. 5, pp.
2677-2681, October 1999.
W. Eberle, M. Badaroglu, V. Derudder, S. Thoen, P. Vandenameele, L. Van der
Perre, M. Vergara, B. Gyselinckx, M. Engels, I. Bolsens, A Digital 80 Mb/s
OFDM Transceiver IC for Wireless LAN in the 5 GHz Band, in Dig. Tech.
Papers, IEEE 2000 SSCC, pp. 74-75, February 2000.
W. Eberle, L. Deneire, H. De Man, B. Gyselinckx, M. Engels, Automatic Gain
Control for OFDM-based Wireless Burst Receivers, in Proc. International
OFDM Workshop, Hamburg, Germany, September 2000.
W. Eberle, M. Badaroglu, V. Derudder, L. Van der Perre, M. Vergara, B.
Gyselinckx, M. Engels, I. Bolsens, H. De Man, A Flexible OFDM Transceiver
ASIC for High-Speed Wireless Local Networks, in Proc. Int. Conf. on
Telecommunications, pp. 1122-1128, Acapulco, Mexico, May 2000.
W. Eberle, V. Derudder, L. Van der Perre, G. Vanwijnsberghe, M. Vergara, L.
Deneire, B. Gyselinckx, M. Engels, I. Bolsens, H. De Man, A Digital 72 Mb/s
64-QAM OFDM Transceiver for 5 GHz Wireless LAN in 0.18 mm CMOS, in
Dig. Tech. Papers, IEEE 2001 ISSCC, pp. 336-337, February 2001.
W. Eberle, V. Derudder, G. Vanwijnsberghe, M. Vergara, L. Deneire, L. Van
der Perre, M. Engels, I. Bolsens, H. De Man, 80 Mb/s QPSK and 72 Mb/s 64-
QAM, flexible and scalable digital OFDM Transceiver ASICs for wireless local
area networks in the 5 GHz band, accepted for Journal of Solid-State Circuits,
November 2001.
ETSI TS 101 475 Technical Specification Broadband Radio Access
Networks; HIPERLAN Type 2; Physical (PHY) Layer, ETSI, April 2000.
R.F.H. Fischer, J.B. Huber, A new loading algorithm for discrete multitone
transmission, in Proc. of Globecom 1996, pp. 724-728, 1996.
Putting it all together 189
[12]
[13]
[14]
[15]
[16]
[17]
[18]
[19]
J. A. Huisken, et al., A Power-Efficient Single-Chip OFDM Demodulator and
Channel Decoder for Multimedia Broadcasting, in IEEE Journal of Solid-State
Circuits, vol. 33, no. 11, pp. 1793-8, November 1998.
IEEE Std 802.11a Supplement to IEEE Std Part 11: WLAN MAC and PHY
specifications: High-speed Physical Layer in the 5 GHz Band, IEEE,
September 1999.
C. Mandl, M. Bacher, G. Krampl, F. Kuttner, 0.35 mm COFDM Receiver Chip
for DVB-T, in Dig. Tech. Papers, IEEE 2000 ISSCC, pp. 76-77, February
2000.
P. Schaumont, S. Vernalde, L. Rijnders, M. Engels, I. Bolsens, A design
environment for the design of complex high-speed ASICs, in Proc. Design
Automation Conference, pp. 315-320, June 1998.
D. Veithen, et al., A 70 Mb/s Variable-Rate DMT-based Modem for VDSL,
in Dig. Tech. Papers, IEEE 1999 ISSCC, pp. 248-249, February 1999.
M. Vergara, M. Strum, W. Eberle, B. Gyselinckx, A 195 kFFT/s 256-points
High Performance FFT/IFFT Processor for OFDM Applications, in Proc. of
SBT/IEEE Int. Telecommunications Symposium, vol. 1, pp. 273-278, 1998.
D. Verkest, W. Eberle, P. Schaumont, C++ based System Design of a 72 Mb/s
OFDM transceiver for wireless LAN, in Proc. IEEE 2001 CICC, pp. 433-439,
May 2001.
P. Wambacq, S. Donnay, P. Pieters, W. Diels, K. Vaesen, W. De Raedt, E.
Beyne, M. Engels, I. Bolsens, Chip-package co-design of a 5 GHz RF Front-
End for WLAN, in Dig. Tech. Papers, IEEE 2000 ISSCC, pp. 318-319,
February 2000.
Abbreviations
ACH
ADC
ADSL
AGC
AM
AP
ARIB
ARQ
ASIC
ASK
ATM
AWGN
BC
BCH
BER
BPSK
BRAN
BSI
CC
CDMA
CFO
CL
CM
CORDIC
CP
CPE
CPFSK
CPU
CRC
C-SAP
Access feedback Channel
Analog to Digital Converter
Asymmetrical Digital Subscriber Line
Automatic Gain Control
Amplitude Modulation
Access Point
Association of Radio Industries and Businesses (Japan)
Automatic Repeat reQuest
Application Specific Integrated Circuit
Amplitude Shift Keying
Asynchronous Transfer Mode
Additive White Gaussian Noise
Burst Controller
Broadcast Channel
Bit Error Rate
Binary Phase Shift Keying
Broadband Radio Access Networks
Burst State Information
Central Controller
Code Division Multiple
Carrier Frequency Offset
Convergence Layer
Centralised Mode
Coordinate Rotation Digital Computer
Cyclic Prefix
Common Phase Error
Continuous Phase Frequency Shift Keying
Central Processing Unit
Cyclic Redundancy Check
Control Service Access Point
192 OFDM Systems
DAB
DAC
DC
DCCH
DDI
DECT
DES
DFS
DFT
DiL
DLC
DLCC
DM
DMA
DSSS
DUC
DUCC
DVB
EC
EDA
EEPROM
ESPRIT
ETSI
FCC
FCH
FFT
FH
FHSS
FIFO
FM
FNC
FSM
FSMD
GCD
GMSK
GSM
GUI
ICI
IDFT
IEEE
IF
IFFT
IL
IP
IP
Digital Audio Broadcasting
Digital to Analog Converter
Direct Current
Dedicated Control Channel
Dynamic Datapath Information
Digital European Cordless Telephony
Data Encryption Standard
Dynamic Frequency Selection
Discrete Fourier Transform
Direct Link
Data Link Control
DLC Connection
Direct Mode
Direct Memory Access
Direct Sequence Spread Spectrum
DLC User Connection
DLC User Connection Control
Digital Video Broadcasting
Signal to interference ratio per bit
Signal-to-noise-ratio per bit
Error Control
Electronic Design Automation
Electrically Erasable Programmable Read Only Memory
Estimation of Signal Parameters by Rotational Invariance
Techniques
European Telecommunication Standards Institute
Federal Commission on Communications
Frame CHannel
Fast Fourier Transform
Frequency Hopping
Frequency Hopping Spread Spectrum
First-In-First-Out (buffers)
Frequency Modulation
Foreign Noise Contribution
Finite State Machine
Finite State Machine with Datapath
Greatest Common Divider
Gaussian Minimum Shift Keying
Groupe Special Mobile
Graphical User Interface
Inter Carrier Interference
Inverse Discrete Fourier Transform
Institute of Electrical and Electronics Engineers
Intermediate Frequency
Inverse Fast Fourier Transform
Implementation Loss
Intellectual Property
Internet Protocol
How to make them work? 193
I/Q
ISDN
ISI
ISM
LAN
LCH
LFSR
LMMSE
LNA
LO
LOS
LS
LSB
LTI
LTS
LUT
MAC
MAC ID
MCM
MPEG
MSB
ML
MP
MPI
MT
NFR
OBS
OFDM
ONC
PA
PAN
PAPR
PC
PCB
PCI
PDF
PDP
PDU
PM
PN
ppm
PSAM
PSK
QAM
QoS
QPSK
RCH
RF
In-Phase versus Quadrature
Integrated Services Digital Network
Inter Symbol Interference
Industrial, Scientific and Medical Band
Local Area Network
Long transport CHannel
Linear Feedback Shift Register
Linear Minimum Mean-Squared Error
Low Noise Amplifier
Local Oscillator
Line of Sight
Least Squares
Least Significant Bit
Linear Time Invariant
Long Training Symbol
Look-Up Table
Medium Access Control
MAC Identifier
Multi Chip Module
Motion Picture Expert Group
Most Significant Bit
Maximum Likelyhood
Multi-Path (propagation).
Microprocessor Programming Interface
Mobile Terminal
Negative Frequency Rejection
OBstructed line of Sight
Orthogonal Frequency Division Multiplexing
Own Noise Contribution
Power Amplifier
Personal Area Network
Peak to Average Power Ratio
Personal Computer
Printed Circuit Board
Peripheral Component Interconnect
Power Density Function
Power Delay Profile
Protocol Data Unit
Phase Modulation
Pseudo Noise
part per million
Pilot Symbol Assisted Modulation
Phase Shift Keying
Quadrature Amplitude Modulation
Quality of Service
Quadrature Phase Shift Keying
Random CHannel
Radio Frequency
194 OFDM Systems
RG
RLC
RMS
RR
RSS
RSSI
RT
RX
SAP
SCH
ScFO
SDU
SER
SFG
SINR
SIR
SNR
SSR
STS
SVD
TCP
TDD
TDMA
TX
U-SAP
VCO
VDSL
VGA
WAN
WLAN
WLL
Resource Grant
Radio Link Control Protocol
Route Mean Square
Resource Request
Received Signal Strength
Received Signal Strength Indicator
Register Transfer
Receive
Service Access Point
Short transport Channel
Sample Clock Frequency Offset
Service Data Unit
Symbol Error Rate
Signal Flow Graph
Signal-to-Interference-plus-Noise Ratio
Signal-to-interference Ratio
Signal-to-Noise Ratio
Symbol Reordening Unit
Short Training Sequences
Singular Value Decomposition
Transmission Control Protocol
Time Division Duplex
Time Division Multiple Access
Transmit
User Service Access Point
Voltage Controlled Oscillator
Very high speed Digital Subscriber Line
Variable Gain Amplifier
Wide Area Network
Wireless Local Area Network
Wireless Local Loop
How to make them work?
Variables
a
a
A(t)
b
b
B
c
d
f
F
G
h(t)
h
H(f)
H
exponent of decay of the received power with the distance
fraction of time-invariant paths
gain of the path
amplitude in function of time
wordlength
curve-fitting parameter
bandwidth
information bandwidth
coherence bandwidth
speed of light
covariance matrix of vector n
distance between transmitter and receiver
frequency
FFT matrix
carrier frequency
Doppler frequency
frequency of the subcarrier
phase noise bandwidth
received energy per bit
gain
receiving antenna gain
transmitting antenna gain
complex channel impulse response
q-th derivative of the channel response at the k-th subcarrier at
time
channel taps (vector)
channel frequency response
channel frequency coefficients (vector)
least squares estimation of channel
linear minimum mean squared error channel estimator
196 OFDM Systems
i(t)
IIP3
k
k
k
k
m
n
N
P(t)
R
R
S
s(t)
S(d)
T
T
W
X
identity matrix of size
interference
input related order intercept point
1dB compression point
carrier index
path index
overhead correction factor
Boltzmann constant
OFDM symbol index
discrete time index
noise matrix
noise spectral density
number of carriers
length of the cyclic prefix (in samples)
number of channel taps
estimated number of channel taps
number of paths
noise vector for OFDM symbol
power delay profile
bit error probability
Doppler spectrum
average input power (of power amplifier)
received power
transmitted power
data symbol rate
data bit rate
autocorrelation of the channel frequency response over
autocorrelation of the amplitudes of the channel frequency
response over
autocorrelation of the channel response over
correlation matrix of the channel
interpolation matrix
discrete time transmitted signal
discrete time transmitted signal for the OFDM symbol
transmitted signal
path loss for a distance d.
temperature
transmitted symbol duration
coherence time
duration of the cyclic prefix
sample period
symbol duration
receiver velocity
maximum speed of scatterers
time variant amplitude of channel
bandwidth
transmitted data symbol matrix (frequency domain)
How to make them work? 197
Y
transmitted data symbol vector for the OFDM symbol
(frequency domain)
transmitted data symbol vector for the OFDM symbol (time
domain)
transmitted data symbol (frequency domain) on the carrier
in the OFDM symbol
transmitted data symbol (time domain) on the carrier in the
OFDM symbol
saturation voltage
received data symbol matrix (frequency domain)
received data symbol (frequency domain) on the carrier in
the OFDM symbol
received data symbol (time domain) on the carrier in the
OFDM symbol
non-linearity factor of a PA device
delta function
subcarrier spacing
carrier frequency offset
phase mismatch (between I and Q)
length of channel impulse response
gain mismatch (between I and Q)
phase noise
phase in function of time
frequency domain representation of phase noise
symbol-to-noise power per bit
symbol-to-noise power
wavelength
singular value
normalized clipping level
subchannel waveform
phase shift of the path
power efficiency
variance of the signal
average delay of a channel
delay of the path
maximum excess delay of a channel
RMS delay spread of a channel
ICI leakage constant
q-th order ICI crosstalk matrix
received data symbol vector for the OFDM symbol
(frequency domain)
received data symbol vector for the OFDM symbol (time
domain)
How to make them work?
Notation
DIAG(x)
CONJ(x)
q-th derivative of X
transpose of matrix X
conjugate transpose of matrix X
Moore-Penrose pseudo-inverse of matrix X
orthogonal projection onto the space spanned by the columns
of matrix X
diagonal matrix with the elements of vector x
Hadamard (i.e. element-wise) product of X with Y
conjugate of vector x
How to make them work?
Index
access feedback channel 58
access point 54
acquisition 76
adaptive loading 48
ADC 130
ADSL 2
AM-AM conversion 140
AM-PM conversion 140
ARIB 6, 54
association control function 58
Association of Radio Industries and Businesses 6, 54
auto-correlation 101, 106
automatic gain control 118, 130, 183
AWGN 45
back-off 140
baud rate 33
block codes 48
bluetooth 3
Boltzmann constant 16
BRAN 6, 7, 54
Broadband Radio Access Networks 6, 54
broadcast channel 58
cable modem 2
carrier frequency 70
carrier frequency offset 98
carrier offset 162
carrier sense multiple access with collision avoidance 54, 73
CDMA 2
202 OFDM Systems
channel estimation 164
channel frequency response 20
channel model 11
clipping 116, 128, 159
clock frequency offset 98
clock jitter 142
clock offset 166
co-channel interference 4
code division multiple access 2, 4
coded OFDM 48
coherence bandwidth 21
coherence time 27
coherent detection 75
common phase error 132
complementary code keying 53
convergence layer 55
convolutional codes 48
crest factor 116
crest factor regrowth 130
cross-correlation 103
cyclic prefix 37, 104, 109
DAB 5, 6
data link control 174
data link control layer 54
DECT 3
delay locked loop 89
deterministic path loss 15
DFT 36, 39
digital audio broadcasting 5
digital video broadcasting 5
direct mode 54
direct sequence spread spectrum 53
DLC user connection control 60
Doppler bandwidth 28
Doppler effect 12
Doppler shift 42
Doppler spectrum 27
DVB 5, 6
dynamic frequency selection 59
dynamic TDMA 57
equaliser 164
error control 54, 57
ESPRIT 88
estimation of signal parameters by rotational invariance techniques 88
ETSI 6, 7, 54
European Telecommunication Standards Institute 6, 54
excess delay 20
How to make them work? 203
fading 19
fast fading 27
Fast Fourier Transform 158
flat fading 22
foreign noise contribution 118, 132
frame channel 58
frame synchronisation 79
free space loss 13
frequency hopped spread spectrum 17, 53
frequency selective channel response 4
frequency selective fading 22
frequency synchronisation 106
front-end 176
gain mismatch 131
Gaussian minimum shift keying 4
GMSK 4, 186
GSM 2, 3
HIPERACCESS 7
HIPERLAN/2 2, 4, 6, 54
HIPERMAN 3, 7
HiSWANa 6, 54
I/Q imbalance 117, 131
ICI crosstalk matrix 43
ICI leakage constants 43
IDFT 36, 39
IEEE 802.11 2, 53
IEEE 802.1la 4, 6, 54, 73
IEEE 802.l1b 53
IEEE 802.16a 3, 4, 7
implementation loss 100, 116, 120
impulse response 19
inter carrier interference 37, 132
inter symbol interference 19, 33
interference 16
interleaver 49, 66
IS-136 2
IS-95 2, 4
ISDN 1
Jakes spectrum 28
least squares estimator 81
line of sight 19
linear minimum mean squared error estimator 81
link adaptation 64
link budget 12
204 OFDM Systems
MAC 57
maximum likelihood estimator 82
medium access control 53, 57, 172
microwave oven 17
minimum description length criterion 88
MMAC 6, 54, 73
mobile terminal 54
multi chip module 177
Multimedia Mobile Access Communication Systems 6, 54
multipath propagation 12, 18
negative frequency rejection 117, 131
noise 15
non line-of-sight 7
non-sample-spaced channel 78
obstructed line of sight 14, 19
OFDM 4, 35, 114
OFDM symbol 39
orthogonal frequency division multiplexing 4, 35
own noise contribution 118, 132
PAN 3
path delay 18
path loss 12
peak-to-average power ratio 116, 186
personal area networks 3
phase noise 98, 118, 132
Phase Shift Keying 4
physical layer 54
pico-cellular networks 15
pilot symbol assisted modulation 77
PLL 135
power amplifier 122, 138, 186
power delay profile 20
preamble 69
probability of bit error 46
propagation loss 12
protocol data unit 56
PSK 4
QAM 4
Quadrature Amplitude Modulation 4
quadrature mismatch 131
quantization 128
radio link control 54
radio resources control 59
How to make them work? 205
random access channel 58
Rayleigh channel 47
ray-tracing 22
received signal strength indicator 184
Reed-Solomon codes 48
RMS delay spread 20
sample spaced channels 78
sample timing jitter 98
sample timing offset 98
scrambler 64
segmentation and reassembly 55
service data unit 55
signal-to-noise ratio 3, 15
single frequency networks 6
singular value decomposition 81
slow fading 27
SNR 3
spectral shaping 77
statistical path loss 14
subcarriers 34
symbol duration 34
symbol timimg drift 99
symbol timing 96
TDMA 2, 3
thermal noise 16
time-division multiple access 2
timing acquisition 161
timing synchronisation 100
training sequence 105, 109
transmission power control 60
trellis coded modulation 48
Turbo codes 48
VDSL 2
Viterbi decoder 48
WAN 2
W-CDMA 4
wide area network 2
Wiener filtering 91
wireless local area networks 2, 4, 5
wireless local loop 6
WLAN 2, 4, 5, 6, 53
WLL 3, 4, 5, 6

Potrebbero piacerti anche