Sei sulla pagina 1di 5

SOLUCIONARIO DEL EXAMEN FINAL DE ARQUITECTURA DE COMPUTADORAS

Pregunta 1: a) Escriba 2 ejemplos de interrupciones internas y 2 ejemplos de externas. Las interrupciones internas son generadas por ciertos eventos que surgen durante la ejecucin de un programa. Este tipo de interrupciones son manejadas en su totalidad por el uP y no es posible modificarlas. Un ejemplo claro de este tipo de interrupciones es la que actualiza el contador del reloj interno de la computadora, el uP hace el llamado a esta interrupcin varias veces durante un segundo para mantener la hora actualizada. Otro ejemplo seran las interrupciones de video. Las interrupciones externas las generan los dispositivos perifricos, como pueden ser: teclado, impresoras, tarjetas de comunicaciones, etc. Tambin son generadas por los coprocesadores. No es posible desactivar a las interrupciones externas. b) Cul es la diferencia entre un temporizador y un PPI Un temporizador es bsicamente un contador usado como divisor de frecuencia para una seal de frecuencia conocida. Su propsito es generar seales de tiempo que permitan sincronizar procesos. Un claro ejemplo es el 8254 que contiene tres contadores en bcd y en binario que le permiten controlar eventos. Interface perifrica programable, es utilizado como interface entre un microprocesador y cualquier dispositivo de E/S compatible. Cuenta con tres puertos, adems dichos puertos se pueden programar como entrada o salida segn la demanda.

c) Explique la tcnica del funcionamiento del DMA La tcnica de DMA permite la transferencia de datos entre un perifrico y la memoria sin intervencin de la CPU (salvo en la fase de inicializacin de los parmetros de la transferencia). El DMA le solicita al microprocesador el control del bus y en un intervalo de tiempo transfiere una cierta cantidad de informacin, dicho intervalo es fijo.

Pregunta2:

Se desea transmitir serialmente el carcter 7 (0x37) a 9600 bps ,8 bits, paridad par, 2 bits de parada usando el puerto serial. a) Dibuje la trama completa del byte a transmitir

El tiempo que dura la transmisin del dato

Dibuje una nueva trama si se transmite sin paridad

Pregunta 3 Indique si cada enunciado pertenece a la arquitectura cisc o risc. a) Tiene capacidad para un instruccin por ciclo de reloj (risc) b) Algunas instrucciones ejecutan tareas especializadas y que no se usa con frecuencia.(cisc) c) Tiene gran cantidad de modo de direccionamiento(cisc) d) Las instrucciones son decodificas con facilidad y son de longitud fija(risc) Pregunta 4: Un microprocesador 8086 , est conectado a 1 display, usando el puerto B de la interface PPI 8255. El programa debe mostrar una cuenta descendente de BCD 9 a 1, con un retardo de 1s aprox (Asuma la existencia de esta subrutina), cuando llega a 1,vuelve a contar desde 9. Dibuje el diagrama esquemtico

AD[0..15] AD0 AD1 AD2 AD3 AD4 AD5 AD6 AD7 3 4 7 8 13 14 17 18 1 11

U2
D0 D1 D2 D3 D4 D5 D6 D7 OE LE 74LS373 Q0 Q1 Q2 Q3 Q4 Q5 Q6 Q7 2 5 6 9 12 15 16 19 A0 A1 A2 A3 A4 A5 A6 A7

A[0..7]

AD[0..15] AD0 AD1 AD2 AD3 AD4 AD5 AD6 AD7 RD WR A1 A2 34 33 32 31 30 29 28 27 5 36 9 8 35 6

U3
D0 D1 D2 D3 D4 D5 D6 D7 RD WR A0 A1 RESET CS PA0 PA1 PA2 PA3 PA4 PA5 PA6 PA7 PB0 PB1 PB2 PB3 PB4 PB5 PB6 PB7 PC0 PC1 PC2 PC3 PC4 PC5 PC6 PC7 8255A 4 3 2 1 40 39 38 37 18 19 20 21 22 23 24 25 14 15 16 17 13 12 11 10

U1
21 22 24 18 31 30 23 17 33 19 RESET AD[0..15] READY A[16..19] INTA/QS1 INTR ALE/QS0 HOLD/GT1 BHE HLDA/GT0 DT/R/S1 TEST DEN/S2 NMI RD MN/MX WR/LOCK CLK M/IO/S0 8086

25 34 27 26 32 29 28

RD WR M/IO

a) Explique el funcionamiento de cada componente 8086: Es el microprocesador es el que direcciona los puertos y entrega los datos. 74373: Se encarga de separar el bus de direcciones y datos siendo controlado por la seal ALE del microprocesador. 8255: Es el que administra los bus, en dicha ocasin ponemos los tres puertos como salida. b) Escriba el programa para mostrar la cuenta. org 100h mov al,10000000b out 086h,al x1:mov al,9 x2:out 082h,al call retardo dec al cmp al,0 je x1 jmp x2

retardo: mov cx,2000 bucle:

loop bucle ret

PREGUNTA 5 A) CODIGO VHDL ENTIDAD Y ARQUITECTURA library IEEE; use IEEE.std_logic_1164.all; entity ffD is port ( D,reset,clk,enable : in std_logic; Q :out std_logic); end ffD; architecture circuito of ffD is begin process(clk) begin

if rising_edge(clk) then if resetn='1' and setn='1' then --activacin en flanco de subida q<=D; qn<= not D; elsif resetn='0' and setn='1' then q<='0'; qn<= '1'; elsif resetn='1' and setn='0' then q<='1'; qn<= '0'; else q<='0'; qn<='0'; end if; end if; end process;

END circuito;

B) DIAGRAMA DE SEALES

Potrebbero piacerti anche