Sei sulla pagina 1di 43

Lithography - Chapter 5

Text Book:

Silicon VLSI Technology Fundamentals, Practice and Modeling g


Authors: J. D. Plummer, M. D. Deal,

and a d P. B. G Griffin

SILICON VLSI TECHNOLOGY Fundamentals, Practice and Modeling By Plummer, Deal & Griffin

2000 by Prentice Hall Upper Saddle River NJ

Lithography - Chapter 5

Photolithography g p y( (Chap. p 1) )
Basic lithography process
Apply pp y p photoresist Patterned exposure Remove photoresist regions Etch wafer Strip remaining photoresist
Photoresist Deposited Film Substrate Film deposition Etch mask Photoresist application Exposure

Mask

Light

SILICON VLSI TECHNOLOGY Fundamentals, Practice and Modeling By Plummer, Deal & Griffin

Development
2

Etching

Resist removal
2000 by Prentice Hall Upper Saddle River NJ

Lithography - Chapter 5

Lithography
The ability to print patterns with submicron features and to place patterns on a silicon substrate with better than 0.1 um precision. Lithography is arguably the single most important technology in IC manufacturing
Gains have traditionally been paced by the development of new lithography tools, masks, photoresist materials, and critical dimension etch processes

Considerations:
Resolution Exposure field Placement accuracy (alignment) Throughput Defect density (mask, photoresist and process)
3
2000 by Prentice Hall Upper Saddle River NJ

SILICON VLSI TECHNOLOGY Fundamentals, Practice and Modeling By Plummer, Deal & Griffin

Lithography - Chapter 5

SIA NTRS Lithography


Year of Produc tion Technolo gy Nod e (half pitch) 1998 250 nm MPU Printed Gate Le ngth DRAM Bits/ Chi p (Sampl ing) MPU Transistors/C hip (x106) Gate C D Control 3 Overlay (nm) Fiel d Size (mm) Exposure Tec hno logy 22x32 248 nm 22x32 248 nm 22x32 248 nm + RET (nm) 256M 100 nm 512M 70 nm 1G 53 nm 4G 550 3.3 32 22x32 193nm + RET 35 nm 16G 1100 2.2 23 22x32 193nm + RET 25 nm 32G 2200 1.6 18 22x32 193nm + RET + H 2O Data Volume/Mas k lev el (GB) 216 729 1644 18 nm 64G 4400 1.16 12.8 22x32 193nm + RET + H 2O 157nm?? 3700 8326 12490 13 nm 10 nm 128G 8800 0.8 8.8 22x32 ??? 128G 14,000 0.6 7.2 22x32 ??? 2000 180 nm 2002 130 nm 2004 90 nm 2007 65 nm 2010 45 nm 2013 32 nm 2016 2018 22 nm 18 nm

0.7X in linear dimension every 3 years. Placement accuracy 1/3 of feature size. 35% of wafer manufacturing costs for lithography lithography. Note the ??? - single biggest uncertainty about the future of the roadmap.
4
2000 by Prentice Hall Upper Saddle River NJ

SILICON VLSI TECHNOLOGY Fundamentals, Practice and Modeling By Plummer, Deal & Griffin

Lithography - Chapter 5

Definitions
Critical Dimensions (CD)
Dimensions that must be maintained

CD Control
About 10% of minimum feature size. Expressed as 3 3-sigma sigma as three standard deviations of the feature size population must be within the specified 10% of the mean)

Placement or Alignment Accuracy Optical Lithography used through 0.18um to 0.13 um generation. ( g (described in text) ) X-ray, e-Beam and extreme ultraviolet are options beyond 0.1 um.
SILICON VLSI TECHNOLOGY Fundamentals, Practice and Modeling By Plummer, Deal & Griffin

2000 by Prentice Hall Upper Saddle River NJ

Lithography - Chapter 5

Wafer Exposure
It is convenient to divide the wafer printing process into three parts
A: Light source, B. Wafer exposure system, C. Resist.

Aerial Image (Surface)

P+ N Well

P+

N+ P Well

N+

TiN Local Interconnect Level (See Chapter 2)

Aerial image is the pattern of optical radiation striking the top of the resist. Latent image is the 3D replica produced by chemical processes in the resist.

Latent Image in Photoresist

Positive Photoresist
exposed photoresist dissolves when processed p
SILICON VLSI TECHNOLOGY Fundamentals, Practice and Modeling By Plummer, Deal & Griffin

2000 by Prentice Hall Upper Saddle River NJ

Lithography - Chapter 5

Important Aspects
Masks
Design, Fabrication, Reuse and Maintenance

Photoresist
Material, material properties, develop, operation during etch or mask process, process post process removal

Wafer Exposure System


Exposure energy type, focus, linewidth/wavelength, diff ti effects diffraction ff t (fringing), (f i i ) depth d th of f focus f

All
Line width Alignment
SILICON VLSI TECHNOLOGY Fundamentals, Practice and Modeling By Plummer, Deal & Griffin

2000 by Prentice Hall Upper Saddle River NJ

Lithography - Chapter 5

A. Light Sources
Decreasing feature sizes require the use of shorter wavelengths, . Traditionally mercury (Hg) vapor lamps have been used which generate many spectral lines from a high intensity plasma inside a glass lamp lamp.
Electrons are excited to higher energy levels by collisions in the plasma. Photons are emitted when the energy is released. g line = 436 nm (typical ( yp in 1990s) ) i line = 365 nm (used for 0.5 m, 0.35 m)

Brightest sources in deep UV are excimer lasers

Kr + NF3 energy KrF photon emission


KrF - = 248 nm ArF - = 193 nm FF - = 157 nm (used for 0.25 m, 0.18m, 0.13 m) (used for 0.13m, 0.09m, . . . ) (used for ??)

(1)

Issues include finding suitable resists and transparent optical components at these wavelengths.
8

SILICON VLSI TECHNOLOGY Fundamentals, Practice and Modeling By Plummer, Deal & Griffin

2000 by Prentice Hall Upper Saddle River NJ

Lithography - Chapter 5

B. Wafer Exposure Systems


1:1 Exposure Systems Light Source Usually 4X 4 or 5X Reduction

Optical System

Three types of exposure systems have been used.


Gap

Mask Photoresist Si Wafer Contact Printing

Proximity Printing

Projection Printing

Contact printing is capable of high resolution but has unacceptable defect densities (minimal diffraction effects, low cost, contact contaminants and defects) Proximity printing cannot easily print features below a few m (diffraction effects exist, may be used for x-ray systems) Projection printing provides high resolution and low defect densities and dominates today (diffraction a concern)
Typical projection systems use reduction optics (2X - 5X), step and repeat or step and scan mechanical systems, print 50 wafers/hour and cost $10 - 25M.

SILICON VLSI TECHNOLOGY Fundamentals, Practice and Modeling By Plummer, Deal & Griffin

2000 by Prentice Hall Upper Saddle River NJ

Lithography - Chapter 5

Diffraction (1)
A simple example is the image formed by a small circular aperture (Airy disk). N t th Note that t a point i t image i is i formed f d only l if: if
0, d , or f 0

R=

1.22 f d

1.22 f/d

Diffraction is usually y described in terms of two limiting cases:


Fresnel diffraction - near field (proximity and contact systems) Fraunhofer diffraction - far field (p (projection j systems) y )
SILICON VLSI TECHNOLOGY Fundamentals, Practice and Modeling By Plummer, Deal & Griffin

10

2000 by Prentice Hall Upper Saddle River NJ

Lithography - Chapter 5

Resolution
The denominator is defined as the numerical aperture: NA n sin
Where represents the ability of the lens to collect diffracted light.

(3)

The Resolution is then defined as

R=

0.61 = k1 NA NA

(4)

k1 is an experimental parameter which depends on the lithography system and resist properties ( 0.4 - 0.8). Obviously resolution can be increased by:
decreasing k1 Decreasing increasing NA (bigger lenses)
SILICON VLSI TECHNOLOGY Fundamentals, Practice and Modeling By Plummer, Deal & Griffin

11

2000 by Prentice Hall Upper Saddle River NJ

Lithography - Chapter 5

Depth of Focus
While resolution can be increased by:
decreasing k1 Decreasing D i increasing NA (bigger lenses)

R=

0.61 = k1 NA NA

(4)

Higher NA lenses also decrease the depth of focus (DOF) (DOF). (See text for derivation.)

DOF = =

2( NA)

= k2

(NA)

(5)

k2 is usually experimentally determined. Thus a 248nm (KrF) exposure system with a NA = 0.6 would have a resolution of R 0 0.3 3 m (k1 = 0 0.75) 75) and a DOF of 0.35 m (k2 = 0.5).
SILICON VLSI TECHNOLOGY Fundamentals, Practice and Modeling By Plummer, Deal & Griffin

12

2000 by Prentice Hall Upper Saddle River NJ

Lithography - Chapter 5

Modulation Transfer Function


Another useful concept is the modulation transfer function or MTF, defined as shown below.
MTF depends on the feature size and on the spatial coherence of the light source
Condenser Lens Aperture Mask Objective or Projection Lens Photoresist on Wafer

Light Source

MTF =

I MAX I MIN I MAX + I MIN

(6)

Intensity at Mask 1

Intensity on Wafer 1 IMAX

Typically require MTF > 0.5 or resist has exposure problems


I MIN

Position

Position

SILICON VLSI TECHNOLOGY Fundamentals, Practice and Modeling By Plummer, Deal & Griffin

13

2000 by Prentice Hall Upper Saddle River NJ

Lithography - Chapter 5

Spatial Coherence
Finally, another basic concept is the spatial coherence of the light source. source
Light Source Condensor Lens Mask

Practical light sources are not point sources. Therefore, the light striking the mask will not be plane waves.

The spatial coherence of the system is defined as or often as Typically, S 0.5 to 0.7 in modern systems
SILICON VLSI TECHNOLOGY Fundamentals, Practice and Modeling By Plummer, Deal & Griffin

S=

light source diameter s = condenser d l lens di diameter d

(7) ( ) (8)

S=

NA condenser NA projection optics

14

2000 by Prentice Hall Upper Saddle River NJ

Lithography - Chapter 5

Modulation Transfer Function


Small degradation for large features

Diffraction effect MTF


Less coherent light

s0.5-0.7 for s0 optical intensity decreases

Lower contrast in the aerial image

Improvement for very small features

S=light source diameter/condenser diameter S /d S=s/d S=NAcondenser optics/NAprojection optics


SILICON VLSI TECHNOLOGY Fundamentals, Practice and Modeling By Plummer, Deal & Griffin 2000 by Prentice Hall Upper Saddle River NJ

Lithography - Chapter 5

Photoresist
Designed to respond to incident photons by changing their properties when exposed to light.
Long-lived response require a chemical change

Most resists are hydrocarbon-based materials.


Photons break chemical nonds

Positive resists become more soluble in the developer solution


Typically used and have better resolution

Negative N ti resists i t d do th the opposite. it Spin coating typically employed


SILICON VLSI TECHNOLOGY Fundamentals, Practice and Modeling By Plummer, Deal & Griffin

16

2000 by Prentice Hall Upper Saddle River NJ

Lithography - Chapter 5

Processing
Start with clean wafer Spin-on p photoresist p
Adhesion promoter may be required Viscosity and spin rate determine thickness and uniformity Create a film of 0.6 to 1 um depth

Prebake to drive off solvents Alignment and Exposure


Possible postbake

Develop (remove unwanted photoresist) Etch Postbake to harden as an etchant mask Remove Photoresist
Chemically or in an oxygen plasma
17
2000 by Prentice Hall Upper Saddle River NJ

SILICON VLSI TECHNOLOGY Fundamentals, Practice and Modeling By Plummer, Deal & Griffin

Lithography - Chapter 5

Resist Important Parameters


Sensitivity
How much light is required to expose the resist. g-line and i-line typically 100 mJ cm-2 Too sensitive, unstable, temp. dependent, noise prone

Resolution
Diffraction limited resolution in the resist image

Resist
The ability to withstand etching or ion implantation or whatever after postbake

SILICON VLSI TECHNOLOGY Fundamentals, Practice and Modeling By Plummer, Deal & Griffin

18

2000 by Prentice Hall Upper Saddle River NJ

Lithography - Chapter 5

Basic Properties of Resists


Two basic parameters are used to describe resist properties, contrast and the critical modulation transfer function or CMTF. Contrast allows distinguishing light and dark areas on the mask.
Positive Resist Fracti ion of Resist Rem maining 1.0 0.75 0.5 0.25 0 10 100 1 Exposure Dose (log) D0 1.0 0.75 0.5 0.25 0 1 10 100 Exposure Dose (log) Df D0 Negative Resist

Df

Contrast (the slope) is defined as 1 = (11) Df log10 D0

Typical g-line and i-line resists achieve contrast values, , of 2 - 3 and Df values of about 100 mJ cm-2. DUV resists have much higher contrast values (5 - 10) and Df values of about 20 - 40 mJ cm-2.
SILICON VLSI TECHNOLOGY Fundamentals, Practice and Modeling By Plummer, Deal & Griffin

19

2000 by Prentice Hall Upper Saddle River NJ

Lithography - Chapter 5

Critical MTF
1.0 Ex xposure Dose 0.75 0.5 0.25 0 Position D0 Areal Image Df

The aerial image and the resist contrast in combination, result in the quality of the latent image produced. (Gray area is partially exposed area which determines the resist edge sharpness.) By analogy to the MTF defined earlier for optical systems, systems the CMTF for resists is defined as D f D 0 101 / 1 (12) = 1/ CMTFresist = D f + D 0 10 + 1 Typical CMTF values for g and i-line resists are about 0.4. Chemically amplified DUV resists achieve CMTF values of 0.1 - 0.2. Lower values are better since in general CMTF < MTF is required for the resist to resolve the aerial image.
SILICON VLSI TECHNOLOGY Fundamentals, Practice and Modeling By Plummer, Deal & Griffin

20

2000 by Prentice Hall Upper Saddle River NJ

Lithography - Chapter 5

Manufacturing Methods and Equipment

Slit of light to avoid optical aberration

Full wafer scanning system


Typically 1:1 mask to image Limited to larger features

A slit is scanned across the wafer


Slit and lens system y minimize aberrations Difficult full wafer alignment

The Th systems use global l b l alignment li difficult alignment on each die full mask difficult use steppers instead to improve overlay accuracy

SILICON VLSI TECHNOLOGY Fundamentals, Practice and Modeling By Plummer, Deal & Griffin

2000 by Prentice Hall Upper Saddle River NJ

Lithography - Chapter 5

Manufacturing Methods and Equipment


Stepper System
4x to 5x mask Step, align, scan-slit
Combined stepper + scanner 4X-5X larger mask pattern- difference in scanning speeds.

SILICON VLSI TECHNOLOGY Fundamentals, Practice and Modeling By Plummer, Deal & Griffin

2000 by Prentice Hall Upper Saddle River NJ

Lithography - Chapter 5

Measurements of Masks
Check Masks for Features and Defects
Scan Make a new mask or Correct the errors

Corrections = repairs made by lasers (evaporation of Cr=excess by focusing)

Defects of sizes below critical dimensions will not print on PR

SILICON VLSI TECHNOLOGY Fundamentals, Practice and Modeling By Plummer, Deal & Griffin

2000 by Prentice Hall Upper Saddle River NJ

Lithography - Chapter 5

Measurement of Photoresist Patterns


SEM has typically replaced optical microscopes

(Photo courtesy of A. Vladar and P. Rissman, Hewlett Packard.) SEM ebeam10nm

Resist pattern

SILICON VLSI TECHNOLOGY Fundamentals, Practice and Modeling By Plummer, Deal & Griffin

2000 by Prentice Hall Upper Saddle River NJ

Lithography - Chapter 5

Electrical Line Width Monitor


Test structures to determine the effective line width
Van der Pauw cross used to determine sheet resistivity The cross-bridge test structure
S =
t = V3 4 ln (2 ) I 56

R=

V23 L L = = S I15 t W W

W = S L

I15 V23

resistor

SILICON VLSI TECHNOLOGY Fundamentals, Practice and Modeling By Plummer, Deal & Griffin

2000 by Prentice Hall Upper Saddle River NJ

Lithography - Chapter 5

Electrical Alignment Monitors


Based on the cross bridge design Place a alternate mask layer to form a potentiometer. potentiometer
If centered, two resistors equal If not t centered, t d resistance indicates distance offset
Ri = S Li W

R + R2 W Li = Ri 1 2 S
SILICON VLSI TECHNOLOGY Fundamentals, Practice and Modeling By Plummer, Deal & Griffin

26

2000 by Prentice Hall Upper Saddle River NJ

Lithography - Chapter 5

Models and Simulation


Lithography simulation relies on models from two fields of science: Optics to model the formation of the aerial image. Chemistry to model the formation of the latent image in the resist.

A. Wafer Exposure System Models


Th There are several l commercially i ll available il bl simulation i l ti tools t l that th t calculate l l t the th aerial image - PROLITH, DEPICT, ATHENA. All use similar physical models. We will consider only projection systems. Light travels as an electromagnetic wave.

(P, t ) = C (W )cos(t + (t ))
or, in complex exponential notation,

(13)

(W , t ) = Re{U (W )e
SILICON VLSI TECHNOLOGY Fundamentals, Practice and Modeling By Plummer, Deal & Griffin

jt

} where U (W ) = C (W )e
27

j ( P )

(14) ( )

2000 by Prentice Hall Upper Saddle River NJ

Light Source

Condenser Lens

Mask

Objective or Projection Lens

Photoresist on Wafer

Lithography - Chapter 5

x y Aperture z x1y1 Plane x'y' Plane

Generic Projection System


x y Plane

The mask is considered to have a digital transmission function: After the light is diffracted, it is described by y the Fraunhofer diffraction (far field) integral: where fx and fy are the spatial frequencies q of the diffraction pattern, defined as
SILICON VLSI TECHNOLOGY Fundamentals, Practice and Modeling By Plummer, Deal & Griffin

1 in clear areas t ( x1 , y1 ) = 0 in opaque areas

(15)

(x, y) = t(x , y )e
++ 1 1

2j f x x + f y y

dxdy

(16)

fx =
28

x y and f y = z z
2000 by Prentice Hall Upper Saddle River NJ

Lithography - Chapter 5

(x,y) is the Fourier transform of the mask pattern.

( f , f ) = F {t(x , y )}
x y 1 1

(17)

The light intensity is simply the square of the magnitude of the field, so that
I ( fx, fy )=

(f , f )
x y
x z

= F {t (x1 , y1 )}

(18)

Mask

w/2

t(x)

Example - consider a long g slit. The Fourier rectangular transform of t(x) is in standard texts and is the sin(x)/x function.
Condenser Lens Mask Objective Obj ti or Projection Lens Photoresist on Wafer

F{t(x)}

Light Source

I(x')

x y Aperture z x1y1 Plane x'y' Plane

x y Plane

SILICON VLSI TECHNOLOGY Fundamentals, Practice and Modeling By Plummer, Deal & Griffin

29

2000 by Prentice Hall Upper Saddle River NJ

Lithography - Chapter 5

But only a portion of the light is collected. This is characterized by a pupil function:

1 if P( f x , f y ) = 0 if
1

f x2 + f y2 <

NA (19) ) ( NA f x2 + f y2 >

The objective lens now performs the inverse Fourier transform.

(x, y ) = F { ( f , f )P( f , f )}= F


1 x y x y

{F {t (x , y )}P( f , f )}
1 1 x y

(20)

resulting in a light intensity at the resist surface (aerial image) given by


I i ( x, y ) =
Summary: Lithography simulators perform these calculations, given a mask design and the characteristics of an optical system. These simulators are quite powerful today. Math is well understood and fast algorithms have been implemented in commercial tools. These Th simulators i l are widely id l used. d
SILICON VLSI TECHNOLOGY Fundamentals, Practice and Modeling By Plummer, Deal & Griffin

( x, y )

Lens Performs Inverse Fourier Transform (x,y) = F-1{(fx,fy)P(fx,fy)}

Light Intensity Ii(x,y) = (x,y)2

(21)

Mask Transmittance t(x1,y1)

Far Field Fraunhofer Diffraction Pattern (fx,fx) = F{t(x1,y1)}

Pupil Function P(fx,fy)


2000 by Prentice Hall Upper Saddle River NJ

30

Lithography - Chapter 5

ATHENA simulator (Silvaco). Colors correspond to optical intensity in the aerial image.
3 2 1 Microns s 0
Microns 1 0 -1 2 -2
1 Microns 0

-1 -2 -3 -3 -2 -1 1 0 Microns 2 3

-1 -2

-2

-1

0 Microns

-2

-1

0 Microns

Exposure system: NA = 0.43, partially coherent g-line illumination ( = 436 nm). No aberrations or defocusing. Minimum feature size is 1 m.

Same example except that Same example S l except that h the illumination the feature size has been wavelength has now been reduced to 0.5 m. Note changed to i-line the poorer image. ill i ti illumination ( = 365 nm) ) and the NA has been increased to 0.5. Note the p image. g improved
31

SILICON VLSI TECHNOLOGY Fundamentals, Practice and Modeling By Plummer, Deal & Griffin

2000 by Prentice Hall Upper Saddle River NJ

Lithography - Chapter 5

Optical Intensity Pattern in the Resist


Exposing Light Aerial Image I i(x,y) Latent Image in Resist I(x,y,z)

Latent Image The second step in lithography simulation is the calculation of the latent image in the resist.
N+

P+

P+

N+

N Well

P Well

Th The light li ht intensity i t it during d i exposure in the resist is a function of time and position because of Light g absorption p and bleaching. g Defocusing. Standing waves.

These are generally accounted for by modifying Eqn. (21) as follows:

I ( x, y, z ) = I i ( x, y )I r ( x, y, z )
where Ii(x,y) is the AI intensity and Ir(x,y,z) models latent image effects.
SILICON VLSI TECHNOLOGY Fundamentals, Practice and Modeling By Plummer, Deal & Griffin

(22)

32

2000 by Prentice Hall Upper Saddle River NJ

Lithography - Chapter 5

ATHENA Simulation
Calculation of light intensity distribution in a photoresist layer during exposure using the ATHENA simulator.
0

0.4 M Microns

A simple structure is defined with a photoresist layer covering a silicon substrate which has two flat regions and a sloped sidewall. Th The simulation i l i shows h the h photoh active compound (PAC) calculated concentration after an exposure of 200 mJ cm-2.
0 0.8 1.6 Microns 2.4

0.8

1.2

Lower PAC values correspond to more exposure. The color contours th correspond thus d to t the th integrated i t t d light intensity from the exposure.
33
2000 by Prentice Hall Upper Saddle River NJ

SILICON VLSI TECHNOLOGY Fundamentals, Practice and Modeling By Plummer, Deal & Griffin

Lithography - Chapter 5

Photoresist Exposure
The light incident is primarily absorbed by the PAC which is uniformly distributed in the resist.
Note: this analysis neglects standing wave effects

Resist bleaching:
PAC becomes more transmissive as it becomes exposed, exposed as the PAC converts to carboxylic acid

M Modeling: d li The Th probability b bili of f absorption b i i is proportional to the light intensity and the absorption coefficient.) ) dI (23) = ( z , t ) I
dz

SILICON VLSI TECHNOLOGY Fundamentals, Practice and Modeling By Plummer, Deal & Griffin

34

2000 by Prentice Hall Upper Saddle River NJ

Lithography - Chapter 5

Exposure Model
The absorption coefficient depends on the resist properties and on the PAC resist = A m + B where A and B are resist parameters (first two Dill parameters) with A the absorption coefficient of bleached and B nonbleached resist. Defining the percentage of unexposed resit
m=

(24)

[PAC ] [PAC ]0

(25)

m is a function of time (m=1 unexposed t=0, m=0 fully exposed) and is given by (with C another Dill parameter dm = C I m dt Substituting (24) into (23) (23), we have: dI = ( A m( z , t ) + B ) I dz Eqns. Eqns (26) and (27) are coupled equations which are solved simultaneously by resist simulators.
SILICON VLSI TECHNOLOGY Fundamentals, Practice and Modeling By Plummer, Deal & Griffin

(26) (27)

35

2000 by Prentice Hall Upper Saddle River NJ

Lithography - Chapter 5

Conceptual Experimental Setup


Condenser C d Lens Filter to Select Particular Photoresist on Transparent Substrate Transmitted Light

T Transmittance

Light Source

1 0.75 0.5

Light Detector

T0 0.25

200

400

600

Exposure Dose (mJ cm-2)

A transparent substrate with a backside antireflective coating is used

Typical experimental result

By measuring T0 and T, the Dill parameters, A, B and C, can be extracted.

SILICON VLSI TECHNOLOGY Fundamentals, Practice and Modeling By Plummer, Deal & Griffin

36

2000 by Prentice Hall Upper Saddle River NJ

Lithography - Chapter 5

Photoresist Baking
A post t exposure b bake k is i sometimes ti used d prior i t to d developing l i th the resist i t pattern. tt This allows limited diffusion of the exposed PAC and smoothes out standing wave patterns. Generally this is modeled as a simple diffusion process (see text).
0

0.4 Microns

Microns

04 0.4 0.8

0.8

1.2

1.2
1.6 Microns 2.4

0.8

0.8

1.6 Microns

2.4

Simulation on right after a post exposure bake of 45 minutes at 115 C. The color contours again correspond to the PAC after exposure. Note N t that th t th the standing t di wave effects ff t apparent t earlier li h have b been smeared d out t b by thi this bake, producing a more uniform PAC distribution.
SILICON VLSI TECHNOLOGY Fundamentals, Practice and Modeling By Plummer, Deal & Griffin

37

2000 by Prentice Hall Upper Saddle River NJ

Lithography - Chapter 5

Photoresist Developing (1)


A number of models for resist developing have been proposed and implemented in lithography simulators. The simplest is purely empirical (Dill et.al). E2 2 0 . 006 exp + + if > 0 . 5 E E m E m m 1 2 3 E3 R ( x, y , z ) = E 0.006 exp E1 + 2 (E2 1) otherwise E3 where R is the local developing rate and m is the local PAC after exposure. E1, E2 and E3 are empirical constants.

(28)

SILICON VLSI TECHNOLOGY Fundamentals, Practice and Modeling By Plummer, Deal & Griffin

38

2000 by Prentice Hall Upper Saddle River NJ

Lithography - Chapter 5

Photoresist Developing (2)


A more physically based model has been developed by Mack which models developer diffusion and reaction (much like the deposition models discussed in Chap. 9). See the text for details on this development model. F1 = k D (C D CS ) F2 = k R CS [PAC ] In steady state F1=F2 and But the rate is then r=F1=F2 and k D C D (1 m ) + rmin kD n + (1 m ) n k R [PAC ]0
n n

k k C [PAC ] F1 = F2 = D R D n k D + k R [PAC ]

D CD CS F1 F3 Developer Resist Substrate F2

r=

SILICON VLSI TECHNOLOGY Fundamentals, Practice and Modeling By Plummer, Deal & Griffin

39

2000 by Prentice Hall Upper Saddle River NJ

Lithography - Chapter 5

Developing Model
0 0.4 0.8 1.2 1.6 Microns
Microns 0

Micro ons

0.4

0.8

1.2

0.8

2.4

0.8

1.6 Microns

2.4

E Example l of f the h calculation l l i of f a developed d l d photoresist h i layer l using i the h ATHENA simulator. The resist was exposed with a dose of 200 mJ cm-2, a post exposure bake of 45 min at 115 C was used and the pattern was developed for a time of 60 seconds, all normal parameters. The Dill development model was used. Center - part way through development. Right Ri ht - complete l t development. d l t
SILICON VLSI TECHNOLOGY Fundamentals, Practice and Modeling By Plummer, Deal & Griffin

40

2000 by Prentice Hall Upper Saddle River NJ

Lithography - Chapter 5

Future Trends
Optical lithography will be extendible to the 65 nm generation (maybe further ). Beyond that, there is no general agreement on which approach to use. Possibilities include e e-beam, beam e e-beam beam projection (SCALPEL), x-ray and EUV. y be required q for these systems. y New resists will likely

SILICON VLSI TECHNOLOGY Fundamentals, Practice and Modeling By Plummer, Deal & Griffin

From R. Socha, ASML, SPIE41 Microlithography Conf. 2004

2000 by Prentice Hall Upper Saddle River NJ

Lithography - Chapter 5

Techniques for Future Electronics


Lithography and Other Patterning Techniques for Future Electronics
By R. R Fabian Pease Pease, Fellow IEEE IEEE, and Stephen Y Y. Chou Chou, Fellow IEEE Proceedings of the IEEE, Vol. 96, No. 2, February 2008

Projection Optics
Light Sources: 248193 nm (KrF and ArF excimer lasers)

Immersion Optics: use a fluid instead of air Extreme Ultraviolet Lithography (EUVL) Resolution Enhancement Technology (RET) Absorbance Modulation Optical Lithography (AMOL) Electron and Ion Beam Lithography X-ray Lithography Nanoimprint a o p t Technology ec o ogy
42
2000 by Prentice Hall Upper Saddle River NJ

SILICON VLSI TECHNOLOGY Fundamentals, Practice and Modeling By Plummer, Deal & Griffin

Lithography - Chapter 5

Summary of Key Ideas


Lithography is the key pacing item for developing new technology generations. Exposure tools today generally use projection optics with diffraction limited performance. g and i-line resists based on DNQ materials and were used down to 0.35 m. DUV resists use chemical amplification and are generally used below 0.35 m. Lithography simulation tools are based on Fourier optics and do an excellent job of simulating optical system performance. Thus aerial images can be accurately calculated. Photoresist modeling (exposure, development, postbake) is less advanced because chemistry is involved which is not as well understood. Thus latent images are less accurately calculated today. A new approach to lithography may be required in the next 10 years.

SILICON VLSI TECHNOLOGY Fundamentals, Practice and Modeling By Plummer, Deal & Griffin

43

2000 by Prentice Hall Upper Saddle River NJ

Potrebbero piacerti anche