Sei sulla pagina 1di 11

INSTITUTO POLITCNICO NACIONAL

ESCUELA SUPERIOR DE CMPUTO

Asignatura: Diseo Digital Nombre prctica: Uso del Flip Flop D y JK Nombre equipo: Los chimpas Fecha de entrega: 01/10/13

Calificacin: __________________________________

Introduccin: El "flip-flop" tipo D, sigue a la entrada, haciendo transiciones que coinciden con las de la entrada. El trmino "D", significa dato; este "flip-flop" almacena el valor que est en la lnea de datos. Se puede considerar como una celda bsica de memoria. Un "flip-flop" D, se puede hacer con un "flip-flop" "set/reset", uniendo la salida set (estado alto) con la salida reset (estado bajo), a travs de un inversor. El resultado se puede sincronizar.

Ejemplo de un flip flop tipo D construido con un latch NAND.

El "flip-flop" J-K, es el ms verstil de los flip-flops bsicos. Tiene el carcter de seguimiento de entrada del flip-flop D sincronizado, pero tiene dos entradas, denominadas tradicionalmente J y K. Si J y K son diferentes, la salida Q toma el valor de J durante la subida del siguiente pulso de sincronismo. Si J y K son ambos low (bajo), entonces no se produce cambio alguno. Si J y K son ambos high (alto), entonces en la siguiente subida de clock la salida cambiar de estado. Puede realizar las funciones del flip-flop set/reset y tiene la ventaja de que no hay estados ambiguos. Puede actuar tambien como un flipflop T para conseguir la accin de permutacin en la salida, si se conectan entre s las entradas J y K. Esta aplicacin de permutar el estado, encuentra un uso extensivo en los contadores binarios.

Ejemplo de un Flip Flop JK

Desarrollo El desarrollo de la prctica consisti en lo siguiente:

Se programaron cada uno de los Flip Flops en lenguaje VHDL, para el caso del Flip Flop D se asign una seal de reloj en el pin 125, otra de entrada denominada D asignada en el pin 56 y una salida como Q en el pin 4.

El programa asigna el valor de Q a D en caso de que la seal de reloj sea 1, tal como se muestra en el cdigo.

Para el caso del Flip Flop JK realiza un proceso anlogo solamente aadiendo la caracterstica de entrada de J y K y Q como su salida y realiza las acciones de asignacin a Q y Q negada tal como se puede apreciar en la siguiente tabla de verdad que pertenece al funcionamiento de ste Flip Flop.

Una vez que fueron programados dichos Flip Flops se grab cada uno en el CPLD y se procedi a armar el siguiente circuito.

En este proceso de la prctica se emple un circuito comparador denominado Disparador Schmitt el cual es el 74HC14 que tiene por objeto prevenir el ruido que podra tapar a la seal original y que causara falsos cambios de estado si los niveles de referencia y entrada son parecidos utilizando el principio de histresis, tiene salida en un led para ver como funciona tal como un oscilador que modifica su periodo de oscilacin de acuerdo al movimiento que se puede hacer en el preset.

Una vez realizado ste proceso solo resta unir el programa ya grabado en el CPLD (comenzando por el Flip Flop D y luego el JK) al circuito en la protoboard. Se hizo siguiendo las especificaciones del profesor dadas.

Posteriormente se procedi a analizar en el osciloscopio la seal de salida que obtenemos y verificar si cumpla con su funcin en el caso del D la seal de entrada se propagara con retardo a la de salida y en el caso del JK el como un dato puede guardarse de forma flotante mientras est alimentado y exista una seal de reloj que lo propague as como los diferentes estados que puede tener con los diferentes valores de J y K. En las siguientes fotos se aprecia el resultado del equipo:

Flip Flop Tipo D (una sola salida al dip switch)

Flip Flop JK con sus respectivas 2 entradas al Dip Switch desde la tarjeta.

Arriba en Flip Flop Tipo D

Abajo en Flip Flop D

Seal de Reloj

Flip Flop Tipo D encendido en 1.


Flip Flop JK arriba

Flip Flop JK abajo

Estado: Conmutacin en Flip Flop JK

Materiales Protoboard Circuito de reloj 1 dip switch LEDs Alambre CPLD Osciloscopio 2 puntas para osciloscopio

Conclusiones

Almanza Romn Ins Atenea:

Me llam mucho la atencin esta prctica ya que encontramos ciertas peculiaridades que valen la pena denotar como conclusiones, mientras estbamos visualizando las seales que existan de salida en los flip flops en comparativa con la seal de reloj pudimos percatarnos de que en el flip flop JK existe una divisin de la frecuencia de entrada posiblemente tenga tambin alguna relacin con el efecto de histresis ejercido por el circuito pero si podemos concluir que los arreglos en el flip flop JK que son utilizados en los contadores solo son divisores de frecuencia, en el caso del JK cada flip flop de este tipo divide entre dos a la frecuencia recibida mientras que en el tipo D no encontramos una gran diferencia respecto a la seal recibida de entrada pero esto es correcto ya que este tipo de flip flop son utilizados como un medio para detener los datos o esperar una sincronizacin hasta que este evento ocurra.

Espinosa Galindo Daniel:

A travs de esta prctica aprendimos acerca de los flip flop que son celdas binarias que son capaces de almacenar 1 bit de informacin, los cuales estn conformados por las entradas del mismo, las cuales se marcan como J y K y sus salidas marcadas como Q y Q, adems estn integrados por una entrada de reloj, as como por el clear y preset. Este flip-flop mejora el comportamiento del tipo SR (J=Set, K=Reset) interpretando la condicin S = R = 1 como un conmutador.

La combinacin de J = 1 y K = 0 es especfica para activar el flip flop, la combinacin J = 0, K = 1 es para resetear el flip flop, y la combinacin J = K = 1 es un comando para conmutar el flip flop. A un flip flop tipo D, simplemente se debe establecer a K como el complemento de J. El flip-flop JK es por lo tanto un flip flop universal, debido a que puede ser configurado para trabajar como un flip-flop SR, un flip-flop D, o un flip-flop T de acuerdo a las necesidades y es una excelente aplicacin que puede servir para muchos otras en las cuales se puedan requerir diferentes tipos de flip flop.

Hernndez Len Francisco Alejandro:

Fue muy interesante la realizacin de sta prctica, aprend varias cosas sobre el funcionamiento de los flip flops y sta vez se pudo llevar a una aplicacin utilizando la tarjeta CPLD, a su vez fue muy interesante para mi analizar el funcionamiento del integrado 74HC14 y el efecto como disparador Schmith para evitar interferencias o ruidos que pudiesen afectar el funcionamiento del circuito, tambin me llam mucho la atencin el como este principio bsico tiene infinidad de aplicaciones y de igual manera infinidad de los aparatos electrnicos digitales parten de los principios que pudimos comprobar en la prctica, tambin me ayud un poco a recordar el uso del osciloscopio as como las horas de diversin al ver que el circuito no quedaba en funcionamiento aunque aparentaba que todo si funcionaba correctamente, espero poco a poco ir avanzando en variedad de proyectos y hagamos cosas muy interesantes utilizando todo lo que aprendamos en el curso, ya que como bien pudimos darnos cuenta no hicieron falta muchas horas de teora para poder comprender sta aplicacin prctica.

Ramrez Araiza Mara Alicia

En la prctica de flip flops armamos un circuito oscilador para generar un pulso de reloj mediante una compuerta 74HC14 tecnologa CMOS que conectamos a alimentacin y a tierra. El circuito para el pulso de reloj se revis primeramente

y tambin en osciloscopio se observ la seal y mediante el led los pulso en el circuito. En esta prctica usamos por segunda vez el software ISPlever, pero ahora ya integrado a un circuito que este caso fueron los flip flops y el oscilador, a diferencia de la primera prctica con la tarjeta donde solo utilizamos los leds de la misma. Para programar la tarjeta se utiliz el cdigo visto en clase que de acuerdo a las entradas corresponde al funcionamiento de cada tipo de flip flops que se observa en sus tablas de verdad y la informacin de las clases tericas donde tambin dibujamos las ondas. En caso del tipo D corresponde solo una entrada de datos y otra de para el pulso de reloj abreviado como clk en flancos de subida, mientras que para las salidas tenemos dos Q y Q. En el caso del flip flop JK manejamos dos entradas de datos una para J y una para K y de igual manera como entrada la seal de reloj en flancos de subida como lo indica el tringulo y sus salidas son Q y Q y mediante su tabla de verdad para los dos datos de entrada tenemos el set, el reset y la opcin sin cambios pero la ms interesante fue la de conmutacin donde se poda observar en osciloscopio las dos seales y su conmutacin, pero tambin de manera externa se tambin se poda observar en el led de salida a debido a las pulsos de reloj.

Potrebbero piacerti anche