Documenti di Didattica
Documenti di Professioni
Documenti di Cultura
Contenido
Propiedades de VHDL Niveles de descripcin Un primer ejemplo: caso del multiplexor Reutilizacin de componentes Simulacin
Propiedades de VHDL
Seales en VHDL
Una seal modela: 1.Un puerto de entrada, salida o bidireccionales. 2.Un nodo Ejemplo en VHDL
Signal enable : std_logic modela un nodo del tipo STD_LOGIC Signal mux_out : std_logic_vector ( 3 downto 0) modela un conjunto de cuatro lneas STD_LOGIC Signal ALU_out : signed ( 3 downto 0 ) modela un conjunto de cuatr o lneas que representan un valor en representacin complemento a dos.
Conexin : &
VHDL: Opera sobre std_logic.
Niveles de descripcin
Niveles de descripcin
Comportamental Describe el comportamiento del sistema. Funcional Describe las funciones que representan al sistema Estructural
Describe el sistema en trminos de los componentes que lo conforman y sus interconexiones.
Descripcin en VHDL
Estructura de una descripcin.
Bibliotecas : recursos , funciones y operaciones. Entidad : Definicin de puertos ( entradas y salidas ). Arquitectura : procesos , interacciones y seales internas.
a b
NAND
a b
AND
NOT
CAND
CNOT
I1 I2 s
AND
x3
OR AND
x2
I2
Cada sentencia representa un circuito. Todos los circuitos operan paralelamente, Es decir al mismo tiempo
Multiplexores
Seleccin de seales binarias. El multiplexor bsico tiene dos entradas (I0 e I1) , una salida f y una seal de seleccin S.
I1 I2
S 0 1
f I1 I2
I1 S NOT
AND OR f
I2
AND
Architecture behavioral of mux2to1 is Begin with s Select f <= I1 when 0, I2 when others; End Behavioral;
S 0 1
f I1 I2
S 0 1
f I0 I1
Reutilizacin de componentes
Reutilizacin de componentes
Un componente se puede utilizar cuantas veces sea necesario. Todo componente que se vaya a reutilizar debe ser declarado en una biblioteca de componentes (lonchera). Hay una nica biblioteca componentes por proyecto.
Reutilizacin de componentes
Ejemplo Se construir un multiplexor 4:1 empleando multiplexores 2:1 como Los presentados en las lminas anteriores.
Library ieee; Use ieee.std_logic_1164.all Entity mux2to1 is port ( I1,I2,s : in std_logic; f : out std_logic); End mux2to1; Architecture BHV of mux2to1 is begin f <= I0 when s = 0 else I1; End BHV;
Mux2to1.vhd
Reutilizacin de componentes
Se declara la biblioteca de componentes (lonchera)
Library ieee; Use ieee.std_logic_1164.all; Package mux2to1_pack is component mux2to1 port( I1,I2 : in std_logic; s : in std_logic; f :out std_logic); End component; End mux2to1_pack;
I1
I2
Mux2to1_pack.vhd
D1 D0
Entity mux4to1 is port ( D : in std_logic_vector ( 3 downto 0); S1 S : in std_logic_vector( 1 downto 0); F : out std_logic); End mux4to1;
D3 D2
S0
mux2
q(1)
D1 D0
mux1
q(0)
S1
mux3
Simulacin
Simulacin
Una primera aplicacin de los lenguajes de descripcin de hardware es la simulacin de circuitos/sistemas digitales. La simulacin de las especificaciones HDL permite validar la funcionalidad del circuito. La simulacin igualmente ayuda a detectar posibles fallas en una etapa temprana de diseo. Esto ahorra costos significativamente.
Simulacin
Ventana tpica de Simulador
Resultado de la simulacin
Referencias
Esta leccin est basada en las obras de referencia: Brown S., Fundamentos de Lgica digital con diseo VHDL, McGraw Gil, 2006 Actel Co, Actel HDL coding style guide, 2000