Sei sulla pagina 1di 25

UNIVERSIDAD DE EL SALVADOR FACULTAD DE INGENIERA Y ARQUITECTURA ESCUELA DE INGENIERA ELCTRICA SISTEMAS DIGITALES I CICLO I - 2013

GUIA # 2: Convertidor de Cdigos con Compuertas Bsicas

Integrantes: Hctor Mauricio Fuentes Chicas FC11023 Nstor Gabriel Vsquez Lpez VL10008

Grupo de Laboratorio: 02

Catedrtico: Ing. Salvador German

Ciudad Universitaria, 03 de mayo de 2013

INDICE

Contenido

Pg.

Objetivos.........3

Introduccin4

Marco Terico.....5

Asignacin...........7 Ejercicio 1....7 Ejercicio 213 Investigacin21

Observaciones..23

Conclusiones24

Bibliografa......25

OBJETIVO GENERAL Desarrollar circuitos digitales por medio de software especializado en la simulacin de estos, para interpretar los resultados de una forma grfica y precisa para su posterior implementacin en diseos ms potentes y de mayor integracin.

Objetivos Especficos: Utilizar el programa de simulacin TINA en la implementacin de diseos de circuitos que usan chips o integrados de mediana escala de integracin, facilitando as la implementacin de diseos tanto bsicos como avanzados enfocados a una aplicacin especfica.

Indagar en los conceptos bsicos sobre los circuitos de media escala de integracin para tener una idea de la aplicacin que tienen en los actuales sistemas digitales, describiendo sus caractersticas esenciales.

Entender y aplicar la relacin que tiene el programa TINA con el ya usado anteriormente VHDL, facilitando as la comprensin de este segundo por parte del primero y logrando una interfaz interactiva en la cual se puede observar de primera mano un acercamiento bastante real a cmo trabajan estos circuitos.

INTRODUCCIN En esta ocasin extendemos la rama de simulacin de circuitos lgicos digitales bsicos al uso de circuitos de mediana escala de integracin, que se detallan ms adelante, mediante un programa de simulacin que interpreta el cdigo escrito en VHDL y con el obtiene la operacin u operaciones que se necesitan implementar en el diseo en cuestin. TINA es un potente pero accesible paquete de programas para analizar, disear y probar en tiempo real circuitos analgicos, digitales, VHDL, MCU, electrnicos mixtos y sus circuitos impresos (PCB). Puede tambin analizar circuitos RF, de comunicacin, opto electrnicos y probar y depurar aplicaciones de micro controladores. TINA posee una caracterstica nica que permite animar un circuito mediante el hardware opcional TINALab II, con conexin USB que convierte a su computadora en un inigualable instrumento multifuncin de prueba y medicin (T&M). Con TINA, los ingenieros elctricos tendrn una herramienta de alto rendimiento pero fcil de usar y los docentes dispondrn de recursos nicos en contextos de prctica y entrenamiento. Con el uso de esta herramienta hemos de afianzar los conceptos vistos en clases sobre estos tipos de circuitos, los cuales tienen mayor aplicacin en el diseo de ciertos proyectos especficos, pues engloban capacidades de trabajo mayores que los usados anteriormente como son las compuertas bsicas. As, se extiende tambin el conocimiento sobre lo que es la electrnica digital en general.

MARCO TERICO Caractersticas de TINA Fcil ingreso de esquemas. Ingrese cualquier circuito en pocos minutos con el sencillo editor de esquemas de TINA. Mejore sus esquemas agregando texto y elementos grficos tales como lneas, arcos, flechas, marcos y bloques de ttulos. Elija los componentes de la amplia biblioteca que contiene ms de 20.000 modelos de fbrica. Puede verificar los errores de los esquemas con las funciones avanzadas ERC de TINA. El editor esquemtico admite diseos jerrquicos complejos, diseo en equipo y control de versin. Potentes herramientas de anlisis. Analice su circuito usando los ms de 20 modelos de anlisis diferentes o los 10 instrumentos virtuales de alta tecnologa. Presente sus resultados en las sofisticadas ventanas de diagrama de TINA, en instrumentos virtuales o en el modo interactivo animado donde adems puede editar su circuito durante la operacin, desarrollo, ejecucin, depuracin y prueba de las aplicaciones VHDL & MCU. Diseo Integrado de PCB. Este nuevo mdulo de diseo totalmente integrado de TINA posee todas las caractersticas necesarias para el diseo avanzado de PCB, incluyendo PCB de Capas mltiples con capas de planos de alimentacin (elctrica) separadas, poderosas herramientas para ubicacin y enrutamiento automticos, para rip-up and reroute (cortar y re-enrutar), para ubicacin de trazado manual y follow-me, DRC (Verificacin de Reglas de Diseo), anotaciones anteriores y posteriores, intercambio de pines y puertas, reas de inclusin y exclusin, vertido de cobre, proteccin trmica, fan-out (cargabilidad de salida), vista en 3D desde cualquier ngulo, creacin de archivos Gerber, y mucho ms. Herramientas de Presentacin Avanzada. Realice sobresalientes informes y presentaciones de diagramas esquemticos, comentarios, frmulas derivadas de anlisis simblico, planos de Bode, diagramas de Nyquist, diagramas polar y Smith, polos y ceros, respuestas transitorias, ondas digitales y otros datos, usando graduaciones lineales o logartmicas. Personalice sus presentaciones con las avanzadas herramientas de dibujo de TINA para definir texto, fuente, eje, lnea, color y diseo. Puede crear, editar e imprimir documentos directamente desde TINA o cortar y pegar los resultados en su procesador de texto favorito o en un programa de Desktop Publishing. Importar modelos Spice. Cree nuevos componentes de TINA desde cualquier subcircuito Spice, ya sea aquellos creados por usted, descargados de Internet, del CD de su proveedor o de partes de los esquemas que se convirtieron en subcircuitos. TINA representa automticamente estos subcircuitos como un bloque rectangular pero usted puede darle la forma que quiera con el Editor de Smbolos Esquemticos de TINA. Tambin puede usar el programa extractor de parmetros de TINA para calcular los parmetros modelo del catlogo o los datos de mediciones y luego agregar el nuevo dispositivo al catlogo. Herramientas educativas. TINA incluye tambin herramientas exclusivas para evaluar del conocimiento de los estudiantes, controlar sus progresos y la presentar tcnicas de resolucin de problemas.
5

Instrumentos Virtuales: Osciloscopio, Generador de Funcin, Multmetro, Analizador de Seales/Planos de Bode, Analizador de Redes, Analizador de Espectros, Analizador Lgico, Generador de Seales Digitales, Registrador de XY. Mediciones en Tiempo Real Puede instalar el hardware suplementario opcional que permite mediciones en tiempo real controladas por los instrumentos virtuales en pantalla de TINA. Instrumento Multifuncin de TINALab II para PC Cualquier instrumento que usted necesite, multmetro, osciloscopio, analizador de espectro, analizador lgico, generador de onda arbitraria, o generador de seales digitales, estn al alcance de su mano con slo un clic del mouse. Adems, TINALab II se puede usar con el programa de simulacin de circuitos de TINA para comparacin de simulaciones y mediciones, como una herramienta nica para el desarrollo de circuitos, la resolucin de problemas y el estudio de electrnica analgica y digital.

ASIGNACION 1. Desarrollar y simular un convertidor de 8421 a 7 segmentos utilizando TINA como simulador y un display de 7 segmentos de la siguiente forma:

El convertidor tendr en la entrada solo cdigos vlidos para el 8421 y deber ser creado utilizando el cdigo VHDL para crear el elemento en TINA, no se podr utilizar un decodificador ya existente en TINA, estrictamente solo utilizar compuertas bsicas. El display 7 segmentos ser el que se encuentra disponible en TINA. Las entradas A, B, C y D sern generadas por switches que ya se encuentran en TINA e irn conectados a Tierra o Vcc (5 V). El modelo del switch a utilizar queda a opinin del estudiante.

Tablas de Verdad Como lo plantea el Problema:


A 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 B 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 X C 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 X D 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 X T 0 0 0 0 0 0 0 0 0 0 X X X X X X U 0 0 0 0 0 0 0 0 0 0 X X X X X X V 0 0 0 0 0 0 0 0 0 0 X X X X X X W 0 0 0 0 0 0 0 0 1 1 X X X X X X X 0 0 0 0 1 1 1 1 0 0 X X X X X X Y 0 0 1 1 0 0 1 1 0 0 X X X X X X Z 0 1 0 1 0 1 0 1 0 1 X X X X X X

Las Salidas Utilizadas por TINA


T 0 1 0 0 1 0 0 0 0 0 X X X X X X U 0 0 0 0 0 1 1 0 0 0 X X X X X X V 0 0 1 0 0 0 0 0 0 0 X X X X X X W 0 1 0 0 1 0 0 1 0 0 X X X X X X X 0 1 0 1 1 1 0 1 0 1 X X X X X X Y 0 1 1 1 0 0 0 1 0 0 X X X X X X Z 1 1 0 0 0 0 0 1 0 0 X X X X X X

Nota: Esta Modificacin es debido a que el software de simulacin TINA tiene una configuracin ya estndar de funcionamiento para el display de 7 segmentos. Obtencin de Ecuaciones por el Mtodo de Simplificacin de los Mapas K Para T:

F = (1,4) + (12) + T =

Para U:

F = (5,6) + (13,14) U = +

Para V:

F = (2) + (10) V =

Para W:

F = (1,4,7) + (12,15) + + W =

Para X:

F = (1,3,4,5,7,9) + (11,12,13,15) X = +

10

Para Y:

F = (1,2,3,7) + (11,12,15) + + Y =

Para Z:

F = (0,1,7) + (15) + Z =

11

Cdigo en VHDL
--************************************************************************************************* ENTITY convertidor IS PORT( A,B,C,D : IN BIT; t,u,v,w,x,y,z : OUT BIT); END convertidor; --************************************************************************************************* ARCHITECTURE arch_8421 OF convertidor IS BEGIN t <= (B AND (NOT C) AND (NOT D)) OR ((NOT A) AND (NOT B) AND (NOT C) AND D); u <= (B AND (NOT C) AND D) OR (B AND C AND (NOT D)); v <= ((NOT B) AND C AND (NOT D)); w <= (B AND (NOT C) AND (NOT D)) OR (B AND C AND D) OR ((NOT A) AND (NOT B) AND (NOT C) AND D); x <= (B AND (NOT C)) OR D; y <= ((NOT A) AND ((NOT B) AND D) OR (C AND D) OR ((NOT B) AND C) ; z <= ((NOT A) AND (NOT B) AND (NOT C)) OR (B AND C AND D); END arch_8421; --*************************************************************************************************

Circuito Visto desde TINA:

12

2. Desarrollar un convertidor de cdigos BCD: 2421, 5421, 7421 y Exceso 3, todos al cdigo BCD 8421; estrictamente usar compuertas bsicas, sern 6 entradas, las primeras 2 entradas, A y B sern de control, es decir, 00=2421, 01=5421, 10=7421, 11=Exceso 3 todos a 8421, y 4 entradas las cules sern el cdigo BCD que se convertirn; y las salidas sern 4 del cdigo 8421, deber ser creado utilizando el cdigo VHDL para crear el elemento en TINA, las entradas sern generadas. Las entradas A, B, C, D, E y F sern con switches que ya se encuentran en TINA e irn conectados a Tierra o Vcc (5V).

13

TABLA DE VERDAD
A 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 B 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 C 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 D 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 E 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 F 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 W 0 0 X X X X X X 0 0 0 0 0 0 1 1 0 0 0 0 0 X X X 0 0 0 1 1 X X X X 0 0 X X X X X X 0 0 1 1 1 1 0 0 0 0 0 0 1 X X X 1 1 1 0 0 X X X Y 0 0 X X X X X X 1 1 0 0 1 1 0 0 0 0 1 1 0 X X X 0 1 1 0 0 X X X Z 0 1 X X X X X X 0 1 0 1 0 1 0 1 0 1 0 1 0 X X X 1 0 1 0 1 X X X A 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 B 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 C 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 D 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 E 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 F 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 W 0 0 0 0 0 0 0 X 0 1 1 X X X X X X X X 0 0 0 0 0 0 0 0 1 1 X X X X 0 0 0 0 1 1 1 X 1 0 0 X X X X X X X X 0 0 0 0 1 1 1 1 0 0 X X X Y 0 0 1 1 0 0 1 X 1 0 0 X X X X X X X X 0 0 1 1 0 0 1 1 0 0 X X X Z 0 1 0 1 0 1 0 X 1 0 1 X X X X X X X X 0 1 0 1 0 1 0 1 0 1 X X X

14

Para W:

F = (14,15,27,28,41,42,59,60) + (6,7,22,23,30,31,43,45,46,47,62,63) + + W = + +

15

Para X:

F = (10,11,12,13,20,24,25,26,36,37,38,40,55,56,57,58) + (2 7,21 23,39,44 47, ) + + + + + + + X =

16

Para Y:

F = ( 8,9,12,13,18,19,25,26,34,35,38,40,53,54,57,58) + (2,3,6,7,22,23,29,30,39,44,49,50,61,62) + + + + + Y =

17

Para Z:

F = (1,9,11,13,15,17,19,24,26,33,35,37,40,42,52,54,56,58,60) + (3,5,7,21,23,39,44,46,48,50,62) + + + + Z = +

18

Cdigo VHDL:
-****************************************************************************************** ****************************************************************************************** ****************************************************************************************** ******** ENTITY Convertidor_BCD IS PORT( A,B,C,D,E,F : IN BIT; w,x,y,z : OUT BIT);

END Convertidor_BCD; -****************************************************************************************** ****************************************************************************************** ****************************************************************************************** ******** ARCHITECTURE arch_BCD OF Convertidor_BCD IS BEGIN

w<=((B and C and E and F) or (B and C and D) or (A and not(B) and C and F) or (A and not(B) and C and E) or (not(A) and D and E)); x<=((not(B) and D and not(E)) or (not(A) and not(C) and D) or (not(A) and not(B) and not(D) and E) or (B and C and not(D) and not(F)) or (B and C and not(D) and not(E)) or (A and C and not(D) and not(E) and not(F)) or (not(C) and D and E and F) or (A and not(B) and D)); y<=((not(B) and C and not(E) and not(F)) or (not(A) and not(C) and E) or (B and E and not(F)) or (A and B and not(E) and F) or (not(B) and not(C) and E) or (not(A) and C and not(E) and F)); z<=((not(A) and not(C) and F) or (not(A) and not(B) and F) or (B and C and not(D) and not(F)) or (A and C and not(F)) or (A and B and not(F)) or (not(B) and not(C) and F));

END arch_BCD; -****************************************************************************************** ****************************************************************************************** ****************************************************************************************** ********

19

Circuito simulado en TINA:

20

INVESTIGACION Investigue las libreras existentes para VHDL, las caractersticas, elementos que contienen, como accesar a estas y como utilizarlas.

LIBRARY ieee; Una de las bibliotecas ms utilizadas en el mundo de la industria es la denominada ieee, la cual contiene algunos tipos y funciones que completan a las que vienen por defecto en el propio lenguaje USE ieee.std_logic_1164.all; USE ieee.std_logic_arith.all; USE ieee.std_logic_signed.all; USE ieee.std_logic_unsigned.all; USE ieee.std_logic_1164: Especifica el STD_LOGIC (8 niveles) y el STD_ULOGIC (9 niveles) para sistemas lgicos multinivel. De todos estos niveles slo 3 son sintetizables sin restricciones; el resto sirven para simulacin.
Std_logic: Un bit. (1,0, Z, X, H, L, U, -....) Std_logic_vector(MSB downto LSB): Bus. (1100) Integer: Entero. (5);

Logica booleano (NOT, XOR, etc)

LIBRARY Synopsys USE ieee.std_logic_arith: Especifica tipos de datos con y sin signo, operaciones aritmticas y de comparacin numrica y funciones para conversin de datos.
Tipos de vectores con/sin signo Signed(MSB downto LSB) unsigned(MSB downto LSB) Operaciones aritmticos entre enteros, signed y unsigned. Comparacion entre enteros, signed y unsigned La operacin de divisin por valores no 2n

NO ESTA DEFINIDA USE ieee.std_logic_signed: Permite operaciones con signo con datos tipo STD_LOGIC_VECTOR. USE ieee.std_logic_unsigned: Permite operaciones sin signo con datos tipo STD_LOGIC_VECTOR. USE std_logic_misc: Define tipos, subtipos, constantes y funciones complementarios para el paquete std_logic_1164.
21

LIBRARY std; Librera que no requiere ser declarada en un diseo. Contiene declaraciones de tipos de datos y funciones de entrada-salida de texto entre otros. USE std.standard.all; USE std.textio.all; Standard: donde se definen los tipos lgicos y numricos bsicos. Textio: Define tipos para la creacin de texto y procedimientos para el ingreso e impresin de textos. LIBRARY work; USE work.all; Librera que no requiere ser declarada en un diseo. Es donde se salvan todos los archivos relacionados con el diseo en curso (creados por el compilador, simulador, etc.).
library IEEE; use IEEE.std_logic_1164.all; El empaquetamiento std_logic_1164 define tipos de datos para las seales que no contempla el VHDL original: Z (alta impedancia) y - (dont care)

22

OBSERVACIONES

El software TINA es una herramienta muy avanzada para el diseo y simulacin de circuitos tanto anlogos como digitales, permite un anlisis profundo de seales elctricas por medio de su capacidad de simular circuitos RF por ejemplo. En la parte de electrnica digital, TINA permite la programacin en lenguaje VHDL, el cual es un lenguaje estandarizado por la IEEE para simulacin de circuitos digitales, al usar este lenguaje hemos aprovechado esta capacidad para crear bloques que representen circuitos integrados tales como Codificadores, en nuestro caso codificadores BCD. Estas y otras caractersticas que posee este software lo hace una excelente herramienta no solo para estudiantes, sino tambin para la industria.

23

CONCLUSIONES

Hemos constatado que el manejo y el uso de Mtodos Bsicos de Simplificacin como lo es los Mapas de Karnough, hace mucho ms eficiente la simplificacin de las ecuaciones lgicas ya que son mucho ms grficos y precisos.

El lenguaje de Simulacin TINA utilizado en esta ocasin en la plataforma de Windows, es un simulador de los circuitos lgicos digitales y que sirve como una herramienta a la hora de comprobar los resultados de los diseos planteados. Este proceso de comprobacin permite a la vez la optimizacin del proceso de diseo, el cual fue presentado en sus distintas partes.

La relacin entre ambos programas (VHDL y TINA) produce un resultado satisfactorio en la tarea de simular circuitos de una manera grfica. Ya que TINA responde al cdigo escrito en el otro lenguaje, haciendo as una buena combinacin.

24

BIBLIOGRAFA
http://es.wikibooks.org

GUIA 2: Convertidor de Cdigos con Compuertas Bsicas Lpez Lacayo, Eddys Elas Albiana Alfaro, Juan Ramn

25

Potrebbero piacerti anche