Sei sulla pagina 1di 42

A SEMINAR REPORT ON CORE i7 PROCESSORS

Submitted in partial fulfillment of the Requirement for the award of the Degree of Bachelor of Technology in Computer Engineering.

ACADEMIC SESSION 2012-13

Submitted To : Mr. Andleeb Hussain (HOD Deptt. of Computer Engineering)

Submitted By : Anagha Vijayvargia (09EMHCS006)

MAHARISHI ARVIND INTERNATIONAL INSTITUTE OF TECHNOLOGY KOTA (RAJ.)


(Approved by AICTE, Affiliated to Rajasthan Technical University, Kota)

CERTIFICATE

This is to certify that Mr. Anagha Vijayvargia student of Maharishi Arvind International Institute of Technology, Kota pursuing B.Tech in Computer Engineering has presented a Seminar on CORE i7 PROCESSORS the topic allotted to him under the Seminar Lab.

He has presented a seminar on CORE i7 PROCESSORS and this report is approved for submission.

Place : Kota Date :

Mr. Ankur Agrawal (Lecturer, Computer Engineering)

Mr. Andleeb Hussain (HOD of Computer Engineering)

PREFACE
The Intel Core i7 processor is the latest in cutting edge processor with fastest, intelligent, multi core technology for the desktop PC. Intel Core i7 processor delivers four complete execution cores within a single processor, delivering unprecedented performance and responsiveness in multi-threaded and multitasking business and home use environments. More instructions can be carried out per clock cycle, shorter and wider pipelines execute commands more quickly, and improved bus lanes move data throughout the system faster. Their performance is almost always higher, which is especially evident in case of multithreaded load and their power consumption is comparable with that of their predecessors. Over clocking the core i7 processors also seems to be easier. Servers will also likely benefit greatly from using an i7 - the memory bandwidth is simply insane. Core i7 is first processor using Nehalem Micro-architecture, with faster, intelligent, multi-core technology that applies processing power where it's needed most, new Intel Core i7 processors deliver an incredible breakthrough in PC performance. They are the best desktop processor family on the planet. It is the combination of Intel Turbo Boost technology and Intel Hyper-Threading technology, which maximizes performance to match our workload.

ACKNOWLEDGEMENT
I take this opportunity to express my deep sense of gratitude to the Head of Department, Computer Engineering of Maharishi Arvind International Institute of Technology, Kota. Mr. Andleeb Hussain who has provided this opportunity to me to present a seminar on CORE i7 PROCESSORS. Further I am grateful to Mr. Ankur Agrawal, for his precious guidance and support in preparation of this seminar report.

Anagha Vijayvargia
( 09EMHCS006 )

Table of Contents
Chapter 1. 1.1. 1.2. Introduction .......................................................................................................... 1 What is Processor ? ..................................................................................................... 1 Central Processing Unit ............................................................................................... 1 INTEL .................................................................................................................. 4 Intel Core ............................................................................................................. 6

Chapter 2. Chapter 3. 3.1.

Overview ..................................................................................................................... 6 Enhanced Pentium M based ................................................................................. 8

Chapter 4. 4.1. 4.2.

Core Duo ..................................................................................................................... 8 Core Solo ..................................................................................................................... 9 64-Bit Core Microarchitecture Based ................................................................ 10

Chapter 5. 5.1. 5.2. 5.3. 5.4.

Core 2 Solo ................................................................................................................ 10 Core 2 Duo ................................................................................................................ 11 Core 2 Quad .............................................................................................................. 12 Core 2 Extreme.......................................................................................................... 13 Nehalem Microarchitecture Based ..................................................................... 15

Chapter 6. 6.1. 6.2. 6.3.

Core i3 ....................................................................................................................... 15 Core i5 ....................................................................................................................... 16 Core i7 ....................................................................................................................... 17 Sandy Bridge Microarchitecture Based ............................................................. 20

Chapter 7. 7.1. 7.2. 7.3.

Core i3 ....................................................................................................................... 20 Core i5 ....................................................................................................................... 21 Core i7 ....................................................................................................................... 22 INTEL CORE i7 ................................................................................................ 25 Features And Benefits ........................................................................................ 27

Chapter 8. Chapter 9. 9.1. 9.2. 9.3. 9.4. 9.5. 9.6. 9.7.

Quad-Core Processor................................................................................................. 27 8 MB Intel Smart Cache ............................................................................................ 27 Intel Hyper-Threading Technology........................................................................... 27 Intel 64-bit architecture ............................................................................................. 27 Intel Turbo Boost Technology .................................................................................. 27 Integrated memory controller .................................................................................... 28 Enhanced Intel Speed-Step Technology ................................................................... 28 Specifications And Instruction Set ................................................................. 29

Chapter 10. 10.1.

Specifications......................................................................................................... 29

10.2.

Instruction Set ........................................................................................................ 30 Advantages And Disadvantages ..................................................................... 31

Chapter 11. 11.1. 11.2.

Advantages ............................................................................................................ 31 Disadvantages ........................................................................................................ 31 Comparison Between i3, i5 and i7 ................................................................. 32 Conclusion...................................................................................................... 34

Chapter 12. Chapter 13.

Refrences.................................................................................................................................. 35

Chapter 1.

INTRODUCTION

1.1. What is Processor ?


A processor is multipurpose, programmable device that read binary instructions from memory, accepts binary data as input and processes data according to that instruction, and provides results as output.It can be viewed as data processing unit of a computer. It has computing and decision-making capability.

1.2. Central Processing Unit


A central processing unit (CPU), also referred to as a central processor unit, is the hardware within a computer that carries out the instructions of a computer program by performing the basic arithmetical, logical, and input/output operations of the system. The term has been in use in the computer industry at least since the early 1960s. The form, design, and implementation of CPUs have changed over the course of their history, but their fundamental operation remains much the same. In older computers, CPUs require one or more printed circuit boards. With the invention of the microprocessor, a CPU could be contained within a single silicon chip. The first computers to use microprocessors were personal computers and small workstations. Since the 1970s the microprocessor class of CPUs has almost completely overtaken all other CPU implementations, to the extent that even mainframe computers use one or more microprocessors. Modern microprocessors are large scale integrated circuits in packages typically less than four centimeters square, with hundreds of connecting pins. A computer can have more than one CPU; this is called multiprocessing. Some microprocessors can contain multiple CPUs on a single chip; those microprocessors are called multi-core processors. Two typical components of a CPU are the arithmetic logic unit (ALU), which performs arithmetic and logical operations, and the control unit (CU), which extracts instructions from memory and decodes and executes them, calling on the ALU when necessary. Not all computational systems rely on a central processing unit. An array processor or vector processor has multiple parallel computing elements, with no one unit considered the "center". In the distributed computing model, problems are solved by a distributed interconnected set of processors.
1

1.3.

Generation of Processor

In this section we discuss main generations of processor of Intel family. Intel 80386: Intel 80836 is the first 32-bit microprocessor incorporating several main frame computer Features. It has extensive memory management capabilities. Semiconductor manufacturing process technology used is 1.0m (micrometer).It has integrated memory management unit. Intel 80486: It is the first Intel microprocessor with internal cache memory. It instruction pipeline is more sophisticated than that of 80386 specially. Internal data conversion logic for both 8 bit subsystem and 16-bit subsystem. Semiconductor manufacturing process technology used is 1.0m and 0.8m (micrometer). Pentium processor: The Pentium is highly sophisticated compared to 80486. Pentium processor has several new feature as compared to 80486; they are Superscalar architecture, Power management, 3.3v operation. Pentium Processor is also abbreviated as Pentium Pro. Semiconductor manufacturing process technology used is 0.8m and 0.6m and 0.35m (micrometer). Pentium II processor: The Pentium II is a Pentium pro with on chip MMX. It has four low power states: Auto halt, Stop Grant, Sleep and Deep sleep. Available also as a boxed processor along with heat sink. Semiconductor manufacturing process technology used is 0.35m and 0.25m (micrometer). Pentium III processor: The dual processing Pentium Xeon processor is now available at speeds upto 1 GHz and provides best choice for the entry to mid- range servers and workstations Solution. It utilizes
2

a 133 MHz system bus. Semiconductor manufacturing process technology used is 0.25m and 0.18m (micrometer). Pentium 4 processor: The Pentium 4 microprocessor is externally superior microprocessor based on The Intel Net Burst micro-architecture. The Pentium 4 microprocessor provides high performance for high end applications. Semiconductor manufacturing process technology used is 0.18m and 0.13m(micrometer)and 90nm and 65nm(nanometer). Core processor: Core processor means it having more than one core working simultaneously to complete the operation within time. It uses the size of manufacture technology 65 nm. It includes dual core processor and core to duo processor. Semiconductor manufacturing process technology used is 65nm (nanometer). Core 2 processor: Core 2 processor uses quad core architecture. It uses the 65nm and 45nm size of core size. As it is quad core so 4 cores working simultaneously to work faster. Semiconductor manufacturing process technology used is 65nm (nanometer). Core i7 processor: Core i7 processor also uses four core. It is the fastest processor on the planet. Semiconductor manufacturing process technology used is 45 nm (nanometer).

Chapter 2. INTEL
Intel Corporation is an American multinational semiconductor chip maker corporation headquartered in Santa Clara, California. Intel is the world's largest and highest valued semiconductor chip maker, based on revenue. It is the inventor of the x86 series of microprocessors, the processors found in most personal computers. Intel Corporation, founded on July 18, 1968, is a portmanteau of Integrated Electronics (the fact that "intel" is the term for intelligence information interface was also quite suitable).Intel also

makes motherboard chipsets, network

controllers and integrated

circuits, flash

memory, graphic chips, embedded processors and other devices related to communications and computing. Founded by semiconductor pioneers Robert Noyce and Gordon Moore and widely associated with the executive leadership and vision of Andrew Grove, Intel combines advanced chip design capability with a leading-edge manufacturing capability. Though Intel was originally known primarily to engineers and technologists, its "Intel Inside" advertising campaign of the 1990s made it and its Pentium processor household names. Intel was an early developer of SRAM and DRAM memory chips, and this represented the majority of its business until 1981. Although Intel created the world's first commercial microprocessor chip in 1971, it was not until the success of the personal computer (PC) that this became its primary business. During the 1990s, Intel invested heavily in new microprocessor designs fostering the rapid growth of the computer industry. During this period Intel became the dominant supplier of microprocessors for PCs, and was known for aggressive and sometimes illegal tactics in defense of its market position, particularly against Advanced Micro Devices (AMD), as well as a struggle with Microsoft for control over the direction of the PC industry. The 2011 rankings of the world's 100 most valuable brands published by Millward Brown Optimor showed the company's brand value at number 58 and in 2012 at number 49. Intel has also begun research in electrical transmission and generation. Intel has recently introduced a 3-D transistor that improves performance and energy efficiency. Intel has begun mass producing this 3-D transistor, named the Tri-Gate transistor, with their 22 nm process, which is currently used in their 3rd generation core processors initially released on April 29, 2012. In 2011, SpectraWatt Inc., a solar cell spinoff of Intel, filed for bankruptcy under Chapter 11.

The Open Source Technology Center at Intel hosts PowerTOP and LatencyTOP, and supports other open-source projects such as Wayland, Intel Array Building Blocks, Intel Threading Building Blocks, and Xen.

Chapter 3.
business microprocessors made by Intel.

Intel Core

Intel Core is a brand name used for various mid-range to high-end consumer and

In general, processors sold as Core are more powerful variants of the same processors marketed as entry-level Celeron and Pentium. Similarly, identically or more capable versions of Core processors are also sold as Xeon processors for the server and workstation market. As of 2013 the current lineup of Core processors includes the latest Intel Core i7, Intel Core i5, and Intel Core i3, and the older Intel Core 2 Solo, Intel Core 2 Duo, Intel Core 2 Quad, and Intel Core 2 Extreme lines. Clock speed slowest 1.2 GHZ to fastest 3.5 GHZ (Or 3.9GHZ via Intel Turbo Boost Technology)

3.1.

Overview
Desktop Laptop

Brand Code-named Cores Fab Date released Codenamed Cores Fab Date released

Core Solo

Desktop version not available

Yonah

1 65 nm

January 2006

Core Duo

Desktop version not available

Yonah

2 65 nm

January 2006

Core 2 Solo

Merom-L
Desktop version not available

1 65 nm 1 45 nm

September 2007 May 2008

Penryn-L

Conroe Core 2 Duo Allendale Wolfdale

2 65 nm 2 65 nm 2 45 nm

August 2006 January 2007 January 2008

Merom Penryn

2 65 nm 2 45 nm

July 2006 January 2008

Core 2 Quad

Kentsfield Yorkfield

4 65 nm 4 45 nm

January 2007 March 2008

Penryn

4 45 nm

August 2008

Conroe XE Core 2 Extreme Kentsfield XE Yorkfield XE

2 65 nm

July 2006

Merom XE Penryn XE Penryn XE

2 65 nm 2 45 nm 4 45 nm

July 2007 January 2008 August 2008

4 65 nm November 2006 4 45 nm November 2007

Clarkdale Core i3 Sandy Bridge Ivy Bridge

2 32 nm 2 32 nm 2 22 nm

January 2010 February 2011 September 2012

Arrandale Sandy Bridge Ivy Bridge

2 32 nm

January 2010

2 32 nm February 2011 2 22 nm June 2012

Lynnfield Clarkdale Sandy Bridge Core i5 Sandy Bridge Ivy Bridge Ivy Bridge Haswell

4 45 nm 2 32 nm 4 32 nm 2 32 nm 4 22 nm 2 22 nm 4 22 nm

September 2009 January 2010 January 2011 February 2011 April 2012 April 2012 June 2013 Arrandale Sandy Bridge Ivy Bridge Haswell Haswell 2 32 nm January 2010

2 32 nm February 2011 2 22 nm 4 22 nm 2 22 nm May 2012 June 2013 June 2013

Bloomfield Lynnfield Gulftown Core i7 Sandy Bridge Sandy BridgeE Ivy Bridge Haswell

4 45 nm 4 45 nm 6 32 nm 4 32 nm 4/6 32 nm 4 22 nm 4 22 nm

November 2008 September 2009 July 2010 January 2011 November 2011 April 2012 June 2013

Clarksfield Arrandale Sandy Bridge Sandy Bridge Ivy Bridge 4 45 nm 2 32 nm 4 32 nm 2 32 nm 2 22 nm

September 2009 January 2010 January 2011 February 2011 May 2012

Core i7 Extreme Edition

Bloomfield Gulftown Sandy BridgeE

4 45 nm November 2008 6 32 nm March 2010

Clarksfield Sandy Bridge Ivy Bridge

4 45 nm 4 32 nm 4 22 nm

September 2009 January 2011 May 2012

6 32 nm November2011

Chapter 4.

Enhanced Pentium M based

The original Core brand refers to Intel's 32-bit mobile dual-core x86 CPUs that derived from the Pentium M branded processors. The processor family used a more enhanced version of the Intel P6 microarchitecture. It emerged in parallel with the NetBurst

microarchitecture (Intel P68) of the Pentium 4 brand, and was a precursor of the 64-bit Core microarchitecture of Core 2 branded CPUs. The Core brand comprised two branches: the Duo (dual-core) and Solo (Duo with one disabled core, which replaced the Pentium M brand of single-core mobile processor). Intel launched the Core brand on January 6, 2006 with the release of the 32-bit Yonah CPU Intel's first dual-core mobile (low-power) processor. Its dual-core layout closely resembled two interconnected Pentium M branded CPUs packaged as a single die (piece) silicon chip (IC). Hence, the 32-bit microarchitecture of Core branded CPUs contrary to its name had more in common with Pentium M branded CPUs than with the subsequent 64-bit Core microarchitecture of Core 2 branded CPUs. Despite a major rebranding effort by Intel starting January 2006, some computers with the Yonah core continued to be marked as Pentium M. The Core series is also known for being the first Intel processor to be used as the main CPU for an Apple Macintosh computer. The Core Duo was the CPU for the first generation MacBook Pro while the Core Solo appeared in Apple's Mac mini line. Core Duo signified the beginning of Apple's shift to Intel processors across their entire line. Intel began branding the Yonah core CPUs intended for mainstream mobile computers as Pentium Dual-Core, not to be confused with the desktop 64-bit Core microarchitecture CPUs also branded as Pentium Dual-Core.September 2007 and January 4, 2008 marked the discontinuation of a number of Core branded CPUs including several Core Solo, Core Duo, Celeron and one Core 2 Quad chip.

4.1. Core Duo


Intel Core Duo (product code 80539) consists of two cores on one die, a 2 MB L2 cache shared by both cores, and an arbiter bus that controls both L2 cache and FSB (front-side bus) access.

Codename (main article)

Brand name (list) L2 Cache

Socket

TDP

Core Duo T2xxx

31 W

Yonah

Core Duo L2xxx

2 MB

Socket M 15 W

Core Duo U2xxx

9W

4.2. Core Solo


Intel Core Solo (product code 80538) uses the same two-core die as the Core Duo, but features only one active core. Depending on demand, Intel may also simply disable one of the cores to sell the chip at the Core Solo pricethis requires less effort than launching and maintaining a separate line of CPUs that physically only have one core. Intel used the same strategy previously with the 486CPU in which early 486SX CPUs were in fact manufactured as 486DX CPUs but with the FPU disabled.

Codename (main article)

Brand name (list) L2 Cache

Socket

TDP

Core Solo T1xxx Yonah Core Solo U1xxx 2 MB Socket M

2731 W

5.56 W

Chapter 5.

64-Bit Core Microarchitecture Based

The successor to Core is the mobile version of the Intel Core 2 line of processors using cores based upon the Intel Core microarchitecture, released on July 27, 2006. The release of the mobile version of Intel Core 2 marks the reunification of Intel's desktop and mobile product lines as Core 2 processors were released for desktops and notebooks, unlike the first Intel Core CPUs that were targeted only for notebooks (although some small form factor and allin-one desktops, like the iMac and the Mac Mini, also used Core processors). Unlike the Intel Core, Intel Core 2 is a 64-bit processor, supporting Intel 64. Another difference between the original Core Duo and the new Core 2 Duo is an increase in the amount of Level 2 cache. The new Core 2 Duo has tripled the amount of on-board cache to 6 MB. Core 2 also introduced a quad-core performance variant to the single- and dual-core chips, branded Core 2 Quad, as well as an enthusiast variant, Core 2 Extreme. All three chips are manufactured at a 65 nm lithography, and in 2008, a 45 nm lithography and support Front Side Bus speeds ranging from 533 MHz to 1600 MHz In addition, the 45 nm die shrink of the Core microarchitecture adds SSE4.1 support to all Core 2 microprocessors manufactured at a 45 nm lithography, therefore increasing the calculation rate of the processors.

5.1. Core 2 Solo


The Core 2 Solo introduced in September 2007, is the successor to the Core Solo and is available only as an ultra-low-power mobile processor with 5.5 Watt thermal design power. The original U2xxx series "Merom-L" used a special version of the Merom chip with CPUIDnumber 10661 (model 22, stepping A1) that only had a single core and was also used in some Celeron processors. The later SU3xxx are part of Intel's CULV range of processors in a smaller FC-BGA 956 package but contain the same Penryn chip as the dualcore variants, with one of the cores disabled during manufacturing.

Codename (main article)

Brand name (list)

L2 Cache Socket

TDP

Merom-L

Mobile Core 2 Solo U2xxx

1 MB

FCBGA 5.5 W

Penryn-L

Mobile Core 2 Solo SU3xxx 3 MB

BGA956 5.5 W

10

5.2. Core 2 Duo


The majority of the desktop and mobile Core 2 processor variants are Core 2 Duo with two processor cores on a single Merom, Conroe, Allendale, Penryn, or Wolfdale chip. These come in a wide range of performance and power consumption, starting with the relatively slow ultra-low-power Uxxxx (10 W) and low-power Lxxxx (17 W) versions, to the more performance oriented Pxxxx (25 W) and Txxxx (35 W) mobile versions and the Exxxx (65 W) desktop models. The mobile Core 2 Duo processors with an 'S' prefix in the name are produced in a smaller FC-BGA 956 package, which allows building more compact laptops. Within each line, a higher number usually refers to a better performance, which depends largely on core and front-side bus clock frequency and amount of second level cache, which are model-specific. Core 2 Duo processors typically use the full L2 cache of 2, 3, 4, or 6 MB available in the specific stepping of the chip, while versions with the amount of cache reduced during manufacturing are sold for the low-end consumer market

as Celeron or Pentium Dual-Core processors. Like those processors, some low-end Core 2 Duo models disable features such as Intel Virtualization Technology. Details can be found at the list of Intel Core 2 microprocessors.

Codename (main article)

Brand name (list)

L2 Cache

Socket

TDP

Mobile Core 2 Duo U7xxx

2 MB BGA479

10 W

Mobile Core 2 Duo L7xxx Merom Mobile Core 2 Duo T5xxx

4 MB

17 W

2 MB

Socket M Socket P 35 W

Mobile Core 2 Duo T7xxx

24 MB

BGA479

Core 2 Duo E4xxx Conroe and Allendale Core 2 Duo E6xxx

2 MB LGA 775 65 W 24 MB

11

Mobile Core 2 Duo SU7xxx 3 MB Mobile Core 2 Duo SU9xxx BGA956 Mobile Core 2 Duo SL9xxx 6 MB Mobile Core 2 Duo SP9xxx 25 W 17 W 10W

Mobile Core 2 Duo P7xxx 3 MB Penryn Mobile Core 2 Duo P8xxx 25 W

Mobile Core 2 Duo P9xxx

6 MB Socket P FCBGA6

Mobile Core 2 Duo T6xxx

2 MB

Mobile Core 2 Duo T8xxx

3 MB

35 W

Mobile Core 2 Duo T9xxx

6 MB

Mobile Core 2 Duo E8xxx

6 MB

Socket P 35-55 W

Core 2 Duo E7xxx Wolfdale Core 2 Duo E8xxx

3 MB LGA 775 65 W 6 MB

5.3. Core 2 Quad


Core 2 Quad processors are multi-chip modules consisting of two dies similar to those used in Core 2 Duo, forming a quad-core processor. This allows twice the performance of dualcore processors at the same clock frequency in ideal conditions.
12

All Core 2 Quad models were versions of Core 2 Duo desktop processors, Kentsfield derived from Conroe and Yorkfield from Wolfdale, but later Penryn-QC was added as a high-end version of the mobile dual-core Penryn. The Xeon 32xx and 33xx processors are mostly identical versions of the desktop Core 2 Quad processors and can be used interchangeably.

Codename (main article)

Brand name (list)

L2 Cache

Socket

TDP

Kentsfield

Core 2 Quad Q6xxx

24 MB

95105 W

Core 2 Quad Q7xxx

21 MB LGA 775

95 W

Yorkfield

Core 2 Quad Q8xxx

22 MB 6595 W

Core 2 Quad Q9xxx

2326 MB

Penryn-QC Mobile Core 2 Quad Q9xxx 2326 MB Socket P 45 W

5.4. Core 2 Extreme


Core 2 Extreme processors are enthusiast versions of Core 2 Duo and Core 2 Quad processors, usually with a higher clock frequency and an unlocked clock multiplier, which makes them especially attractive for overclocking. This is similar to earlier Pentium processors labeled as Extreme Edition. Core 2 Extreme processors were released at a much higher price than their regular version, often $999 or more.
Codename (main article) Brand name (list) L2 Cache Socket TDP

Merom

Mobile Core 2 Extreme X7xxx

4 MB

Socket P

44 W

13

Conroe

Core 2 Extreme X6xxx

4 MB

LGA 775

75 W

Kentsfield

Core 2 Extreme QX6xxx

24 MB

LGA 775

130 W

Penryn

Mobile Core 2 Extreme X9xxx

6 MB

Socket P

44 W

Penryn-QC Mobile Core 2 Extreme QX9xxx 26 MB

Socket P

45 W

Yorkfield

Core 2 Extreme QX9xxx

26 MB

LGA 775 / LGA 771 130150 W

14

Chapter 6. Nehalem Microarchitecture Based


With the release of the Nehalem microarchitecture in November 2008, Intel introduced a new naming scheme for its Core processors. There are three variants, Core i3, Core i5 and Core i7, but the names no longer correspond to specific technical features like the number of cores. Instead, the brand is now divided from low-level (i3), through mid-range (i5) to highend performance (i7), which correspond to three, four and five stars in Intel's Intel Processor Rating following on from the entry-level Celeron (one star) and Pentium (two stars) processors.Common features of all Nehalem based processors include an integrated DDR3 memory controller as well as QuickPath Interconnect or PCI Express and Direct Media Interface on the processor replacing the aging quad-pumped Front Side Bus used in all earlier Core processors. All these processors have 256 KB L2 cache per core, plus up to 12 MB shared level 3 cache. Because of the new I/O interconnect, chipsets and mainboards from previous generations can no longer be used with Nehalem based processors.

6.1. Core i3
The Core i3 was intended to be the new low end of the performance processor line from Intel, following the retirement of the Core 2 brand. The first Core i3 processors were launched on January 7, 2010. The first Nehalem based Core i3 was Clarkdale-based, with an integrated GPU and two cores. The same processor is also available as Core i5 and Pentium, with slightly different configurations. The Core i3-3xxM processors are based on Arrandale, the mobile version of the Clarkdale desktop processor. They are similar to the Core i5-4xx series but running at lower clock speeds and without Turbo Boost. According to an Intel FAQ they do not support Error Correction Code (ECC) memory. According to motherboard manufacturer Supermicro, if a Core i3 processor is used with a server chipset platform such as Intel 3400/3420/3450, the CPU will support ECC with UDIMM. When asked, Intel confirmed that, although the Intel 5 series chipset supports non-ECC memory only with the Core i5 or i3 processors, using those processors on a motherboard with 3400 series chipsets it will support the ECC function of ECC memory. A limited number of motherboards by other companies also support ECC with Intel Core ix processors; the Asus P8B WS is an example, but it does not support ECC memory under Windows non-server operating systems.

15

Codename (main article)

Brand name (list) Cores L3 Cache

Socket

TDP

I/O Bus

Clarkdale

Core i3-5xx

4 MB

LGA 1156

73 W Direct Media Interface, Integrated GPU

Core i3-3xxM Arrandale Core i3-3xxUM

3 MB

rPGA-988A 35 W

3 MB

BGA-1288 18 W

6.2. Core i5
The first Core i5 using the Nehalem microarchitecture was introduced on September 8, 2009, as a mainstream variant of the earlier Core i7, theLynnfield core.Lynnfield Core i5 processors have an 8 MB L3 cache, a DMI bus running at 2.5 GT/s and support for dual-channel DDR3800/1066/1333 memory and have Hyper-threading disabled. The same processors with different sets of features (Hyper-Threading and other clock frequencies) enabled are sold as Core i7-8xx and Xeon 3400-series processors, which should not be confused with high-end Core i7-9xx and Xeon 3500-series processors based on Bloomfield. The Core i5-5xx mobile processors are named Arrandale and based on the 32 nm Westmere shrink of the Nehalem microarchitecture. Arrandale processors have integrated graphics capability but only two processor cores. They were released in January 2010, together with Core i7-6xx and Core i3-3xx processors based on the same chip. The L3 cache in Core i5-5xx processors is reduced to 3 MB, while the Core i5-6xx will use the full cache and the Core i3-3xx will have no support for Turbo Boost. Clarkdale, the desktop version of Arrandale, is sold as Core i5-6xx, along with related Core i3 and Pentium brands. It has Hyper-Threading enabled and the full 4 MB L3 cache. According to Intel "Core i5 desktop processors and desktop boards typically do not support ECC memory", but information on limited ECC support in the Core i3 section also applies to Core i5 and i7.

16

Codename (main article)

Brand name (list) Cores L3 Cache

Socket

TDP

I/O Bus

Core i5-7xx Lynnfield Core i5-7xxS 4 8 MB LGA 1156

95 W Direct Media Interface 82 W

Clarkdale

Core i5-6xx

4 MB

7387 W

Core i5-5xxM rPGA-988A 35 W Core i5-4xxM Arrandale Core i5-5xxUM BGA-1288 18 W Core i5-4xxUM[32] 2 3 MB Direct Media Interface, Integrated GPU

6.3. Core i7
Intel Core i7 as an Intel brand name applies to several families of desktop and laptop 64 bit x8664 processors using the Nehalem Westmere, SandyBridge and Ivy Bridge microarchitectures. The Core i7 brand targets the business and high-end consumer markets for both desktop and laptop computers, and is distinguished from the Core i3 (entry-level consumer), Core i5 (mainstream consumer), and Xeon (server and workstation) brands.

Intel introduced the Core i7 name with the Bloomfield Quad-core processor in late 2008. In 2009 new Core i7 models based on the Lynnfield desktop quad-core processor and the Clarksfield quad-core mobile were added, and models based on the Arrandale dual-core mobile processor were added in January 2010. The first six-core processor in the Core lineup is the Gulftown, which was launched on March 16, 2010. Both the regular Core i7 and the Extreme Edition are advertised as five stars in the Intel Processor Rating. In January 2011, Intel released the second generation of Core i7 processors. Both the first and second generation of Intel Core i7 processors are rated as 5 stars in the Intel processor rating. The
17

second generation of Intel core processors are based on the "Sandy Bridge" core and were updated in April 2012 with "Ivy Bridge". In each of the first three microarchitecture generations of the brand, Core i7 has family members using two distinct system-level architectures, and therefore two distinct sockets (for example, LGA 1156 and LGA 1366 with Nehalem). In each generation, the highestperforming Core i7 processors use the same socket and QPI-based architecture as the low-end Xeon processors of that generation, while lower-performing Core i7 processors use the same socket and PCIe/DMI/FDI architecture as the Core i5. "Core i7" is a successor to the Intel Core 2 brand. Intel representatives stated that the moniker Core i7 is meant to help consumers decide which processor to purchase as the newer Nehalem-based products are released in the future.

Code name

Brand name

Cores

L3 Cache

Socket

TDP Process

Busses

Release Date

Core i7-9xxX Extreme Edition Gulftown Core i7-9xx LGA 1366 Core i7-9xx Extreme Edition Bloomfield Core i7-9xx 6 12 MB 32 nm QPI, 3 DDR3

Mar 2010

130 W

Jul 2010

Nov 2008

Core i7-8xx Lynnfield Core i7-8xxS 4 8 MB LGA 1156

95 W 45 nm 82 W DMI, PCI-e,

Sep 2009

Jan 2010

Core i7-9xxXM Extreme Edition Clarksfield Core i7-8xxQM rPGA988A

2 55 W DDR3 Sep 2009 45 W

18

Core i7-7xxQM

6 MB

Core i7-6xxM

35 W DMI, PCI-e,

Arrandale

Core i7-6xxLM

4 MB BGA-1288

25 W 32 nm

FDI, 2 DDR3

Jan 2010

Core i7-6xxUM

18 W

19

Chapter 7.

Sandy Bridge Microarchitecture Based

In early 2011, a new microarchitecture named Sandy Bridge microarchitecture was introduced; whilst keeping all the existing brands from Nehalem, including Core i3/i5/i7, it introduces new model numbers. The initial set of Sandy Bridge processors includes dual- and quad-core variants, all of which use a single 32 nm die for both the CPU and integrated GPU cores, unlike the earlier microarchitectures. All Core i3/i5/i7 processors with the Sandy Bridge microarchitecture have a four-digit model number. With the mobile version, the thermal design power can no longer be determined from a one- or two-letter suffix but is encoded into the CPU number. Starting with Sandy Bridge, Intel no longer distinguishes the code names of the processor based on number of cores, socket or intended usage; they all use the same code name as the microarchitecture itself. Ivy Bridge is the codename for Intel's 22 nm die shrink of the Sandy Bridge microarchitecture based on tri-gate ("3D") transistors, introduced in April 2012.

7.1. Core i3
Released on January 20, 2011, the Core i3-2xxx line of desktop and mobile processors is a direct replacement of the 2010 "Clarkdale" Core i3-5xx and "Arrandale" Core i3-3xxM models, based on the new microarchitecture. While they require new sockets and chipsets, the user-visible features of the Core i3 are largely unchanged, including the lack of support for Turbo Boost and AES-NI. Unlike the Sandy Bridge based Celeron and Pentium processors, the Core i3 line does support the new Advanced Vector Extensions. The Ivy Bridge based Core-i3-3xxx line is a minor upgrade to 22 nm process technology and better graphics.

Codename (main article)

Brand name (list) Cores L3 Cache

Socket

TDP

I/O Bus

Core i3-21xx Sandy Bridge (Desktop) Core i3-21xxT 2 3 MB LGA 1155

65 W

Direct Media Interface, Integrated GPU 35 W

Sandy Bridge (Mobile) Core i3-2xx0M

rPGA-988B

20

BGA-1023

Core i3-2xx7M

BGA-1023 17 W

Core i3-3xx0M Ivy Bridge (Mobile) Core i3-3xx7U 9Y

rPGA-988B BGA-1023

35 W

BGA-1023

17 W 13 W

7.2. Core i5
In January 2011, Intel released new quad-core Core i5 processors based on the "Sandy Bridge" microarchitecture at CES 2011. New dual-core mobile processors and desktop processors arrived in February 2011. The Core i5-2xxx line of desktop processors are mostly quad-core chips, with the exception of the dual-core Core i5-2390T, and include integrated graphics, combining the key features of the earlier Core i5-6xx and Core i5-7xx lines. The suffix after the four-digit model number designates unlocked multiplier (K), low-power (S) and ultra-low-power (T). The desktop CPUs now all have four non-SMT cores (like the i5-750), with the exception of the i5-2390T. The DMI bus is running at 5 GT/s. The mobile Core i5-2xxxM processors are all dual-core chips like the previous Core i5-5xxM series and share most the features with that product line.

Codename (main article)

Brand name (list) Cores L3 Cache

Socket

TDP

I/O Bus

Core i5-2xxx Core i5-2xxxK Sandy Bridge (Desktop) Core i5-2xxxS 4 6 MB LGA 1155

95 W Direct Media Interface, Integrated GPU 65 W

21

Core i5-25xxT

45 W

Core i5-23xxT

3 MB

35 W

Core i5-3xxx Core i5-3xxxK

77 W

Core i5-3xxxS Ivy Bridge (Desktop) Core i5-35xxT

6 MB

65 W

45 W

Core i5-34xxT

3 MB

35 W

Core i5-2xxxM Sandy Bridge (Mobile) Core i5-2xx7M 2 Core i5-3xx0M Ivy Bridge (Mobile) Core i5-3xx7U 9Y 3 MB

rPGA-988B BGA-1023

35 W

BGA-1023 17 W

rPGA-988B BGA-1023

35 W

BGA-1023

17 W 13 W

7.3. Core i7
The Core i7 brand remains the high-end for Intel's desktop and mobile processors, featuring the Sandy Bridge models with the largest amount of L3 cache and the highest clock frequency. Most of these models are very similar to their smaller Core i5 siblings. The quadcore mobile Core i7-2xxxQM/XM processors follow the previous "Clarksfield" Core i7xxxQM/XM processors, but now also include integrated graphics.

22

Codename (main article)

Brand name (list)

Cores

L3 Cache

Socket

TDP Process

I/O Bus

Release Date

Core i7-37xx 77 W Core i7-37xxK Ivy Bridge (Desktop) Core i7-37xxS 4 8 MB LGA 1155 65 W 22 nm Direct Media Interface, Integrated GPU April 2012

Core i7-37xxT

45 W

Core i7-39xxX 6 Sandy Bridge-E (Desktop) Core i7-39xxK

15 MB

12 MB

LGA 2011

130 W

Direct Media Interface

November 2011

Core i7-38xx

10 MB

32 nm

Core i7-2xxxK, Sandy Bridge (Desktop) Core i7-2xxxS 4 Core i73xx0QM, i73xx0QE Ivy Bridge (Mobile) Core i73xx2QM, i73xx2QE rPGA988B BGA1023 8 MB i7-2xxx LGA 1155

95 W January 2011 65 W Direct Media Interface, 45 W Integrated GPU

22 nm

April 2012

35 W

23

Core i73xxxXM 55 W Core i72xxxXM

Core i72xxxQM

6 or 8 MB 45 W

January 2011

Sandy Bridge (Mobile)

Core i7-2xxxQE

6 MB

32 nm

Core i7-2xx0M

35 W

Core i7-2xx9M

4 MB BGA1023

25 W

February 2011

Core i7-2xx7M

17 W

24

Chapter 8.

INTEL CORE i7

Core i7 is first processor using Nehalem Micro-architecture, with faster, intelligent, multi-core technology that applies processing power where it's needed most, new Intel Core i7 processors deliver an incredible breakthrough in PC performance. They are the best desktop processor family on the planet. You will multitask applications faster and unleash incredible digital media creation. And you'll experience maximum performance for everything you do, thanks to the combination of Intel Turbo Boost technology and Intel Hyper-Threading technology, which maximizes performance to match your workload. Following figure shows internal representation of core i7 processor.

Fig.01 - Internal representation of processor i7 Among the key peculiarities of the new CPU we absolutely have to point out the following:

Native quad-core structure. Single processor die contains four cores with 32-KB L1 cache for each and 256KB L2 cache each and 8MB shared L3 cache for all of them.

Memory controller built into the CPU supports triple-channel DDR3 SDRAM. Each channel can work with two unbuffered DIMM modules.

There is SMT (Simultaneous Multithreading) technology It allows each Core i7 core to process two computational threads simultaneously, so the operating system sees the processor as an 8-core one.

25

Integrated PCU microcontroller that independently adjusts voltages and core frequencies and can automatically over-clock some cores when others arent loaded too heavily.

Core i7 is manufactured with 45nm process, consists of 731 million transistors.

Nehalem is the codename for an Intel processor micro-architecture, successor to the Core micro-architecture. The first processor released with the Nehalem architecture is the desktop Core i7.

Various sources have stated the specifications of processors in the Nehalem family:

Two, four, six, or eight cores

731 million transistors for the quad core variant

45 nm manufacturing process Integrated memory controller supporting two or three memory channels of DDR3 SDRAM

Simultaneous multithreading (SMT) by multiple cores which enables two threads per core. Intel calls this hyper-threading.

Native (monolithic, i.e. all processor cores on a single die) quad- and octa-core processors.

The following caches:


32 KB L1 instruction and 32 KB L1 data cache per core. 256 KB L2 cache per core.
48 MB L3 cache shared by all cores

26

Chapter 9.

FEATURES AND BENEFITS

9.1. Quad-Core Processor


Provides four complete execution cores in a single processor with 256KB of L2 cache and 8MB of L3 cache. Eight dedicated, physical threads help operating systems and applications deliver additional performance, so end users can experience better multi-tasking and multithreaded performance across many types of applications and workloads. 9.2. 8 MB Intel Smart Cache This large last-level cache enables dynamic and efficient allocation of shared cache to all four cores to match the needs of various applications for ultra efficient data storage and manipulation. It provides a higher-performance, more efficient cache subsystem. Optimized for industry leading multi-threaded games.

9.3. Intel Hyper-Threading Technology


The processor supports Intel Hyper-Threading Technology which allows an execution core to function as two logical processors. While some execution resources such as caches, execution units, and buses are shared, each logical processor has its own architectural state with its own set of general-purpose registers and control registers. This feature must be enabled using the BIOS and requires operating system support. Intel recommends enabling Hyper-Threading Technology with Microsoft Windows Vista, Microsoft Windows XP Professional/Windows XP Home, and disabling Hyper-Threading Technology using the BIOS for all previous versions of Windows operating systems.

9.4. Intel 64-bit architecture


Intel 64-bit architecture delivers 64-bit computing on server, workstation, desktop and mobile platforms when combined with supporting software. Intel 64 architecture improves performance by allowing systems to address more than 4 GB of both virtual and physical memory.

9.5. Intel Turbo Boost Technology


Intel Turbo Boost Technology is one of the many exciting new features that Intel has built into core i7 processor. It automatically allows processor cores to run faster than the base
27

operating frequency if it's operating below power, current, and temperature specification limits. The maximum frequency of Intel Turbo Boost Technology is dependent on the number of active cores. The amount of time the processor spends in the Intel Turbo Boost Technology state depends on the workload and operating environment, providing the performance you need, when and where you need it. Any of the following can set the upper limit of Intel Turbo Boost Technology on a given workload:

Number of active cores Estimated current consumption Estimated power consumption Processor temperature

No special hardware support is necessary for Intel Turbo Boost Technology. BIOS and the operating system can enable or disable Intel Turbo Boost Technology.

9.6.

Integrated memory controller

Integrated memory controller enables three channels of DDR3 1066 MHz memory, resulting in up to 25.6 GB/sec memory bandwidth. This memory controller's lower latency and higher memory bandwidth delivers amazing performance for data-intensive applications.

9.7. Enhanced Intel Speed-Step Technology


Running a processor at high clock speeds allows for better performance. However, when the same processor is run at a lower frequency, it generates less heat and consumes less power. In many cases, the core voltage can also be reduced, Further reducing power.

28

Chapter 10.
10.1.

SPECIFICATIONS AND INSTRUCTION SET

Specifications
Clock frequency Code name Cores Core Stepping Core Voltage Bus/Core Ratio Thermal Specification 2.66 GHz to 3.33GHz Bloomfield & Lynnfield 4 C0 1.18V-1.21V 20 62.2C 105 W 45 nm 731 million Express 58 MMX,SSE,SSE2,SSE3, SSSE3,SSE4

Typical heat(thermal design power) Manufacturing technology No of Transistors Chipset support Instruction set

Intel Hyper-Threading Technology Intel Turbo Boost Technology Intel Virtualization Technology Enhanced Intel Speed Step Technology Execute Disable Bit Intel 64-bit architecture Intel smart cache Processor Integrated Memory Controller Number of Memory Channels 29 3

Yes Yes Yes Yes Yes Yes 8MB Yes (DDR3 1066 MHz)

10.2.

Instruction Set

An instruction set is a list of all the instructions, and all their variations, that a processor can execute. A single instruction, multiple data (SIMD) machine is one in which a single instruction stream has the ability to process multiple data streams simultaneously. These machines are useful in applications such as general digital signal processing, image processing, and multimedia applications such as audio and video. Originally, supercomputers known as array processors or vector processors provided SIMD processing capabilities. Almost all computers today implement some form of SIMD instruction set. Intel core i7 processors implement the MMX, Streaming SIMD Extensions (SSE), Streaming SIMD Extensions 2 (SSE2), and Streaming SIMD Extensions 3 (SSE3), Supplemental Streaming SIMD Extensions 3(SSSE4), Streaming SIMD Extensions 4(SSE4) instruction sets that are capable of processing multiple data elements in a single clock. The multiple data elements are stored in the floating point registers. A multiple instruction, multiple data (MIMD) machine is capable of is executing multiple instruction streams, while working on a separate and independent data stream. The instruction set MMX is 64-bit instruction set. The instruction set SSE is 128-bit instruction set.
Name MMX SSE SSE2 SSE3 SSSE3 Description MMX SIMD instructions Streaming SIMD Extensions (SSE) instructions Streaming SIMD Extensions 2 instructions Streaming SIMD Extensions 3 instructions Supplemental Streaming SIMD Extensions 3 instructions SSE4 Streaming SIMD Extensions 4 instructions

30

Chapter 11. 11.1.

ADVANTAGES AND DISADVANTAGES

Advantages:
Big cache size:
This processor has 8 Mb last level caches shared among four cores. This helps to increase Instruction execution speed.

Very fast:
Using the combination of Intel Turbo Boost Technology and Intel Hyper-Threading Technology processor speed is becomes faster. It is very fast processor as compared to other processor.

Better cooling system:


A 4-pin connector is included for fan speed control to help minimize the acoustic noise levels generated from running the fan at higher speeds for thermal performance. For the cooling of processor it provides Cooler running technology, less heat and less noise. Supplied with Intel reference heat-sink & fan, as temperature increase speed of fan get become increase.

11.2.

Disadvantages

Cost:
The main disadvantage of core i7 processor is its cost, It a expensive processor as compared to previous processor. Its cost is over 15000 Rs.

Power Consumption:
Power consumption of core i7 processor is not better as compared with the core 2 duo processors.

31

Chapter 12.

COMPARISON BETWEEN i3, i5 and i7

Fig. - Processors i3, i5 and i7

Intel Core i3 Processor


This particular Intel processor is the entry level processor of this new series of Intel processors. While it may not be the fastest one of the bunch, it can get the job done, at least for most applications.

Uses 4 threads. Yes, it uses hyperthreading technology which is the latest craze due to its improved efficiency over earlier processors that were put on the market. This processor consists of 2-4 cores, depending on which one you get your hands on. Contains A 3-4 MB Cache Uses less heat and energy than earlier processors, which is always a good thing in this day and age.

Intel Core i5 Processor


This is the mid-size processor of this bunch, recommended for those who demand a little speed, but not quite enough where the user will be running resource-intensive applications.

32

As with the Core i3 processor, this comes with 2-4 cores, the main difference is that it has a higher clock speed than the Core i3. This is also a heat and energy efficient processor, but it does seem to be better at this particular job than the Core i3 processor. The number of threads used in this is no different than the Core i3 with 2-4 threads, and it also uses hyperthreading technology for a boost in performance. The cache of the Core i5 is bigger than the Core i3, its at 3-8 MB. The Core i5 is where the turbo mode is made available, this provides users with the opportunity to turn off a core if its not being utilized.

Intel Core i7 Processor


This is for the users that demand power, yes it does provide more power and Great for gamers and other resource intensive users.

The cache on this one is 4-8 MB. This processor comes with 8 threads, definitely enough to get the job done quickly, may be even at the speed of light if youre lucky. It also utilizes hyper-threading technology as well as turbo boost technology. You will have four cores to take advantage of with this particular series. And just like the other ones in this Intel series of processors, it is more energy efficient and produces less heat.

33

Chapter 13.

CONCLUSION

We have finally got acquainted with the new Core i7 processors, the first solutions on Nehalem micro architecture targeted for desktop systems. This processor is brilliant from multiple standpoints. It supports new interesting technologies, such as SMT and Turbo Boost, and has an integrated memory controller with unprecedented performance. In most applications except a few gaming titles, the new processors turned out faster than Core 2 processors working at the same clock speed. New Core i7 are indisputably better in most aspects than Core 2 Quad processors of comparable price. Their performance is almost always higher, which is especially evident in case of multi-threaded load and their power consumption is comparable with that of their predecessors. Over-clocking the core i7 processors also seems to be easier. Servers will also likely benefit greatly from using an i7 - the memory bandwidth is simply insane. It is more energy efficient and produces less heat. The core i7 utilizes Hyper-Threading technology as well as Turbo Boost Technology. Core i7 is first processor using Nehalem Micro-architecture, with faster, intelligent, multi-core technology that applies processing power where it's needed most, new Intel Core i7 processors deliver an incredible breakthrough in PC performance.

34

REFRENCES

Intel Core - Wikipedia, the free encyclopedia

URL : http://en.wikipedia.org/wiki/Intel_Core

Intel Core Processor Family

URL : http://www.intel.in/content/www/us/en/processors/core/core-processor-family.html

Intel Core i7 Processor

URL : http://www.intel.com/content/www/us/en/processors/core/core-i7-processor.html

Intel - Wikipedia, the free encyclopedia

URL : http://en.wikipedia.org/wiki/Intel

Processor - Wikipedia, the free encyclopedia

URL : http://en.wikipedia.org/wiki/Processor

Central processing unit - Wikipedia, the free encyclopedia

URL : http://en.wikipedia.org/wiki/Central_processing_unit

Intel Turbo Boost - Wikipedia, the free encyclopedia

URL : http://en.wikipedia.org/wiki/Intel_Turbo_Boost

List of Intel Core i7 microprocessors - Wikipedia, the free encyclopedia

URL : http://en.wikipedia.org/wiki/List_of_Intel_Core_i7_microprocessors

I7 - Wikipedia, the free encyclopedia

URL : http://en.wikipedia.org/wiki/I7

Intel Core i7 - Simple English Wikipedia, the free encyclopedia

URL : http://simple.wikipedia.org/wiki/Intel_Core_i7 Intel Core - Wikipedia, the free encyclopedia

URL : http://en.wikipedia.org/wiki/Intel_Core#Core_i7_2
35

Nehalem (microarchitecture) - Wikipedia, the free encyclopedia

URL : http://en.wikipedia.org/wiki/Nehalem_(microarchitecture) Sandy Bridge - Wikipedia, the free encyclopedia

URL : http://en.wikipedia.org/wiki/Sandy_Bridge Nehalem (microarchitecture) - Wikipedia, the free encyclopedia

URL : http://en.wikipedia.org/wiki/Intel_Westmere#Westmere Ivy Bridge (microarchitecture) - Wikipedia, the free encyclopedia

URL : http://en.wikipedia.org/wiki/Ivy_Bridge_(microarchitecture) Microarchitecture - Wikipedia, the free encyclopedia

URL : http://en.wikipedia.org/wiki/Microarchitecture

36

Potrebbero piacerti anche