Sei sulla pagina 1di 10

INFORME#3 MODULOS COMBINACIONALES ETN-601

LIMBERG OLIVER MARDONEZ CORTEZ



1. OBJETIVO GENERAL

Nuestro objetivo general de este tercer laboratorio que fue realizado, en si fue de
utilizar componentes cada vez mas complejos (de una escala de integracin un poco
mayor a la anterior escala utilizada), es decir utilizar mdulos combinacionales MSI,
para as poder realizar los denominados codificadores, decodificadores,
transcodificadores, multiplexores, demultiplexores y los comparadores.

2. OBJETIVOS ESPECIFICOS

Se dar a conocer los objetivos especficos de los circuitos armados en laboratorio.

Aprender a sintetizar circuitos empleando demultiplexadores (DEMUX) para lo
cual no es necesario simplificar los minterminos de una funcin.

Adems de poder sintetizar con estos CI MUX los diferente sistemas lgicos
que se presenten, para as poder reducir el numero de componentes o
compuertas lgicas a ser utilizadas en un cierto armado.

Utilizar los circuitos integrados comparadores que reducen aun mas la sntesis
de circuitos mas complejos.

Dar a conocer los errores que se producen de forma aleatoria en una
transmisin digital y por consiguiente aprender a detectar dichos errores,
adems de corregirlos de forma automtica, evidentemente utilizando el
mtodo de haming.


3. COMPONENTES UTILIZADOS

A continuacin se detalla primeramente los componentes que se utilizaron para este
tercer laboratorio

- 3 Protoboards
- 2 Dip Switch 8 canales
- Circuitos integrados TTL como ser:
- 74LS00 (NAND 2IN)
- 74LS02 (NOR 2IN)
- 74LS32 (OR 2IN)
- 74LS86 (OR-EX 2IN)
- 2- 74157 (MUX de 2 a 1 y 1 VS )
INFORME#3 MODULOS COMBINACIONALES ETN-601
LIMBERG OLIVER MARDONEZ CORTEZ

- 2- 74138 (DEMUX 3 A 8)
- 2- 7485 (COMPARADOR de 4bits)
- Leds de diversos colores

4. DISEO E IMPLEMENTACIN
b.2) Disee un decodificador de cdigo biquinario a 7 segmentos nodo comn con
demultiplexores 74138.

Dec. # A B C D E F G a b c d e f G
33 0 0 1 0 0 0 0 1 0 0 0 0 0 0 1
34 1 0 1 0 0 0 1 0 1 0 0 1 1 1 1
36 2 0 1 0 0 1 0 0 0 0 1 0 0 1 0
40 3 0 1 0 1 0 0 0 0 0 0 0 1 1 0
48 4 0 1 1 0 0 0 0 1 0 0 1 1 0 0
65 5 1 0 0 0 0 0 1 0 1 0 0 1 0 0
66 6 1 0 0 0 0 1 0 0 1 0 0 0 0 0
68 7 1 0 0 0 1 0 0 0 0 0 1 1 1 1
72 8 1 0 0 1 0 0 0 0 0 0 0 0 0 0
80 9 1 0 1 0 0 0 0 0 0 0 0 1 0 0

Como es nodo comn le daremos tierra a los LEDs para que se enciendan.
De la anterior tabla se obtiene las funciones de diseo:
Los bolanos sern todos los que no estn presentes en la tabla de diseo.
( )

= u
| 7
80 , 72 , 68 , 66 , 65 , 48 , 40 , 36 , 34 , 33
Y las funciones son:
( ) u + =

7
48 , 43 a
( ) u + =

7
66 , 65 b
( ) u + =

7
36 c
( ) u + =

7
68 , 48 , 34 d
( ) u + =

7
80 , 68 , 65 , 48 , 40 , 34 e
( ) u + =

7
68 , 40 , 36 , 34 f
( ) u + =

7
68 , 34 , 33 g
Realizando las operaciones de simplificacin en los diagramas de Karnaugh para cada funcin se
obtienen:

( ) F C A a + =
( ) G F A b + =
E A c =
( ) AE F C A d + + =
( ) ( ) E G A D F A C e + + + + =
( ) D F A E f + + =
( ) AE G F A g + + =

CODIGO BIQUINARIO 7 SEGMENTOS
INFORME#3 MODULOS COMBINACIONALES ETN-601
LIMBERG OLIVER MARDONEZ CORTEZ

B
C
D
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
0 1 3 2
8 9
11
19 18
24 25 26 27
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
6 7 5 4
21 20
30 31 28 29
X
X
X
X
X
X
X
X
X
X
X
X
35 34
40 41 42 43
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
37 36
46 47 44 45
10 14 15 13 12
16 17 22 23
59 58
48 49 50 51
61 60
54 55 52 53
56 57 62 63
32 33 38 39
E
F
G
A=0

B
C
D
X
X
X
X
X
X
X
X
X
X
X
X
64 65 67 66
72 73
75
83 82
88 89 90 91
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
70 71 69 68
85 84
94 95 92 93
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
74 78 79 77 76
80 81 86 87
112 113 114 115 118 119 116 117
E
F
G
A=1
120 121 122 123 126 127 124 125
104 105 106 107 110 111 108 109
96 97 98 99 102 103 100 101


INFORME#3 MODULOS COMBINACIONALES ETN-601
LIMBERG OLIVER MARDONEZ CORTEZ

Para usar demultiplexores realizamos operaciones a los trminos ms comunes:
A, C, F (1 Multiplexor):
1 3
m m F C A F C A F A + = + =
( )
2 1 3
m m m F C A F C A F C A F C A + + = + + = +
5 7
m m F C A F C A F A + = + =
A, E, G (1 Multiplexor):
2 3
m m G E A G E A E A + = + =
6 7
m m G E A G E A E A + = + =
3 1
m m G E A G E A G A + = + =
7 5
m m G E A G E A G A + = + =
En las expresiones halladas:
( )
3 2 1 3 2 1
m m m m m m F C A a = + + = + =
( )
7 5 7 5 7 5 5 7
m m m m m m m m G F A b + = + + + = + =
3 2 3 2
m m m m E A c = + = =
( )
7 6 6 7
m m a m m a AE F C A d + = + + = + + =
( ) ( ) ( ) | | ( ) | |
6 7 5 6 7 5
m m m D F A C m m m D F A C E G A D F A C e + + + = + + + + + = + + + + =

( ) ( ) | | D F A E D F A E f + + = + + =
( )
7 6 3 1 3 1 7 6 3 1 3 1
m m m m m m m m m m m m AE G F A g + + = + + + + + = + + =

Finalmente sintetizando:
3 2 1
m m m a =
7 5 7 5
m m m m b + =
3 2
m m c =
7 6
m m d =
( ) | |
6 7 5
m m m D F A C e + + + =
( ) D F A E f + + =
7 6 3 1 3 1
m m m m m m g + + =

a
b
c
d
e
f
g
0 1 2 3 4 5 6 7 8 9
INFORME#3 MODULOS COMBINACIONALES ETN-601
LIMBERG OLIVER MARDONEZ CORTEZ



c.2) Utilizando los bloques combinacionales estndar y las puertas lgicas necesarias,
disear un circuito que, dados dos nmeros de cuatro bits BCD A y B en binario con signo,
proporcione a la salida el mximo de ambos.

Los nmeros sern:
S
A
A
3
A
2
A
1
A
0
S
B
B
3
B
2
B
1
B
0
A
Bit de
signo B
Bit de
signo

Se analizaran los posibles casos en la siguiente tabla:
SIGNO DE A SIGNO DE B CASO
A>B
B>A
A
B
MAYOR
+ +
A>B(SIEMPRE) A
+ -
B>A(SIEMPRE) B
- +
|A|>|B|
|B|>|A|
B
A
- -
|A|: MAGNITUD DE A
|B|: MAGNITUD DE B
R
Del circuito comparador se
obtiene:
0
1
Cuando A>B
Cuando B>A


Realizamos la tabla de verdad con el signo de A y B y la seal R para obtener una seal que nos
permitir visualizar mediante multiplexores el mayor de los nmeros. Cuando F esta en 0 logico el
MUX visualizara A, en 1logico visualizara B.

74LS138N
74LS138N
74LS10N
74LS10N
74LS10N
74LS00N
74LS00N
74LS00N
74LS32N
74LS32N
74LS32N
74LS32N
74LS32N
74LS02N
74LS02N
74LS00N
74LS00N
74LS32N
74LS32N
0
Key = A
0
Key = B
0
Key = C
0
Key = D
0
Key = E
0
Key = F
0
Key = G
A B C D E F G
CA
H
5V
5V
5V
A B C D E F G
mo
m4
m2
m6
m1
m5
m3
m7
mo
m4
m6
m1
m3
m7
m2
m5
Vcc
INFORME#3 MODULOS COMBINACIONALES ETN-601
LIMBERG OLIVER MARDONEZ CORTEZ


0 0 0 0
0 0 1 1
0 1 0 0
0 1 1 0
1 0 0 1
1 0 1 1
1 1 0 1
1 1 1 0
SA SB R F

Sintetizando la funcin:


d.1) Utilizando los bloques combinacionales estndar y las puertas lgicas necesarias,
disear un circuito que, dados dos nmeros de cuatro bits BCD A y B en binario con signo,
proporcione a la salida el mximo de ambos.

Se debe aadir p bits para la deteccin y correccin del cdigo tal que cumpla:
p n
p
+ > 2
Con p=3 se cumple esta condicin: 7 7.

Con este se necesitaran 3 bits para indicar la posicin del error que podra estar entre los bits b1 a
b7, siendo b0 seal de que no hay error.
U4
74LS157D
U1
74LS85N
U5
74LS157D
0
A3
Key = A
0
A2
Key = B
0
A1
Key = C
1
A0
Key = D
1
B3
Key = M
1
B2
Key = N
0
B1
Key = O
0
B0
Key = P
0
Sa
Key = D
0
Sb
Key = P
74LS00N
74LS00N
74LS00N
74LS00N
74LS00N
M3
M2
M1
M0
SM
COMPARADOR
MUX 2 a 1
MUX 2 a 1
Entonces:


Simplificando:

INFORME#3 MODULOS COMBINACIONALES ETN-601
LIMBERG OLIVER MARDONEZ CORTEZ

0 0 0 b0
0 0 1 b1
0 1 0 b2
0 1 1 b3
1 0 0 b4
1 0 1 b5
1 1 0 b6
1 1 1 b7
C3 C2 C1 bit
7 5 3 1 1
7 6 3 2 2
7 6 5 4 3
b b b b C
b b b b C
b b b b C
=
=
=
Para l a detecci n del error:
Tx Rx
Existe
ERROR

Para la transmisin de datos despejamos las potencias de dos:
7 5 3 1
7 6 3 2
7 6 5 4
b b b b
b b b b
b b b b
=
=
=

Y con este generamos la tabla:
0 1 1 0
0 1 1 0
1 0 1 0
1 0 1 0
1 1 0 0
0 0 1 1
0 1 0 1
0 1 0 1
b6 b5 b4 b7
1 1 0
0 0 1
1 0 1
0 1 0
0 1 1
1 0 0
1 0 1
0 1 0
b3 b2 b1
1 0 0 1 1 1 0
1 0 0 1 0 0 1
Codi go 631-1


Finalmente sintetizamos el circuito:

Para la transmisin
b7
b6
b5
b3
b4
b2
b1


Para la recepcin
INFORME#3 MODULOS COMBINACIONALES ETN-601
LIMBERG OLIVER MARDONEZ CORTEZ



5. RESULTADOS DEL LABORATORIO

b.2) DECODOFICAR BIQUINARIO A 7-SEGMENTOS


c.2) CIRCUITO COMPARADOR
-Se demostr la funcionalidad del circuito en el laboratorio, ya que el circuito realiza la
comparacin de nmeros con signo.
d.1) CIRCUITO DETECTOR Y CORRECTOR DE ERRORES
Se demostr que el circuito realiza la generacin de los bits de correccin, y en la parte de la
recepcin corrige el error y la parte de la salida de la seal es prcticamente estatica.

6. VHDL

b.2) DECODIFICADOR BIQUINARIO A 7-SEGMENTOS

library ieee;
use ieee.std_logic_1164.all;
entity demux is
port(BIQ_IN :in std_logic_vector(6 downto 0);
SEV_SEG : out std_logic_vector(6 downto 0));
end demux;
architecture bodi of demux is
begin
with BIQ_IN select
SEV_SEG <= "1111110" when "0100001",
"0110000" when "0100010",
"1101101" when "0100100",
"1111001" when "0101000",
"0110011" when "0110000",
"1011011" when "1000001",
"1011111" when "1000010",
"1110000" when "1000100",
"1111111" when "1001000",
"1111011" when "1010000",
"1001001" when others;

74LS86D
74LS86D
74LS86D
74LS86D
74LS86D
74LS86D
74LS86D
74LS86D
74LS86D 74LS86D 74LS86D 74LS86D 74LS86D 74LS86D 74LS86D
74LS138N
Y0
15
Y1
14
Y2
13
Y3
12
Y4
11
Y5
10
Y6
9
Y7
7
A
1
B
2
C
3
G1
6
~G2A
4
~G2B
5
0
Key = Space
0
Key = Space
0
Key = Space
0
Key = Space
0
Key = Space
1
Key = Space
0
Key = Space
b7
b6
b5
b4
b3
b2
b1
INFORME#3 MODULOS COMBINACIONALES ETN-601
LIMBERG OLIVER MARDONEZ CORTEZ

c.2) MAYOR DE DOS NUMEROS CON SIGNO

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
entity demux is
port(A,B :in signed(3 downto 0);
M :out signed(3 downto 0));
end demux;
architecture bodi of demux is
signal c1 : signed(3 downto 0);
begin
c1 <= A when A>B else B;
M <= c1;
end bodi;



d.1) DETECCIN Y CORRECCIN DE ERRORES DEL CODIGO 631-1


library ieee;
use ieee.std_logic_1164.all;
entity demux is
port(A :in std_logic_vector(3 downto 0);
Ab :out std_logic_vector(2 downto 0);
Aerr :in std_logic_vector(6 downto 0);
Ac : out std_logic_vector(6 downto
0));
end demux;
architecture bodi of demux is
signal Bc : std_logic_vector(7 downto 0);
signal C : std_logic_vector(2 downto 0);
begin
Ab(2)<= (A(1) xor A(2)) xor A(3);
Ab(1)<= (A(0) xor A(2)) xor A(3);
Ab(0)<= (A(0) xor A(1)) xor A(3);

C(2)<= (Aerr(3) xor Aerr(4))xor(Aerr(5) xor
Aerr(6));
C(1)<= (Aerr(1) xor Aerr(2))xor(Aerr(5) xor
Aerr(6));
C(0)<= (Aerr(0) xor Aerr(2))xor(Aerr(4) xor
Aerr(6));

with C select
Bc <= "00000001" when "000",
"00000010" when "001",
"00000100" when "010",
"00001000" when "011",
"00010000" when "100",
"00100000" when "101",
"01000000" when "110",
"10000000" when "111";
Ac(0)<= Bc(1) xor Aerr(0);
Ac(1)<= Bc(2) xor Aerr(1);
Ac(2)<= Bc(3) xor Aerr(2);
Ac(3)<= Bc(4) xor Aerr(3);
Ac(4)<= Bc(5) xor Aerr(4);
Ac(5)<= Bc(6) xor Aerr(5);
Ac(6)<= Bc(7) xor Aerr(6);
end bodi;





INFORME#3 MODULOS COMBINACIONALES ETN-601
LIMBERG OLIVER MARDONEZ CORTEZ


7. CONCLUSIONES

b.2) DECODIFICADOR BIQUINARIO A 7-SEGMENTOS



c.2) CIRCUITO COMPARADOR
El circuito busca adecuadamente la bsqueda del nmero mayor, sin embargo como esta limitado
al rango de los cuatro bits los nmeros mximos y mnimos de comparacin serian 31 .


d.1) CIRCUITO DETECTOR Y CORRECTOR DE ERRORES
El circuito corrector solo puede mostrar la posicin de un error y puede corregirlo esto es debido al
DEMUX 3a8. Si indujramos ms de un error el circuito podra asumir que se trata de otra
combinacin de la tabla y podra haber un error o ninguno.

Potrebbero piacerti anche