Sei sulla pagina 1di 3

18-01-2011

IEEE Xplore - Abstract Page

Browse > Journals > C ircuits and Systems I: Regul ...> Volume:57 Issue:1

Statistical Design of the 6T SRAM Bit Cell


Gupta, V. ; Anis, M. ; Dept. of Electr. & Comput. Eng., Univ. of Waterloo, Waterloo, ON, Canada

This paper appears in: Circuits and Systems I: Regular Papers, IEEE Transactions on Issue Date : Jan. 2010 Volume : 57 , Issue:1 On page(s): 93 ISSN : 1549-8328 INSPEC Accession Number: 11147903 Digital Object Identifier : 10.1109/TCSI.2009.2016633 Date of Publication : 06 March 2009 Date of Current Version : 22 January 2010 Sponsored by : IEEE Circuits and Systems Society

ABSTRACT In this paper, a method for the statistical design of the static-random-access-memory bit cell is proposed to ensure a high memory yield while meeting design specifications for performance, stability, area, and leakage. The method generates the nominal design parameters, i.e., the widths and lengths of the bit-cell transistors, which provide maximum immunity to the variations in a transistor's dimensions and intrinsic thresholdvoltage fluctuations. Moreover, the need to deviate from the conventional bit-cell sizing strategy to obtain a high-yield low-leakage design in the nanometer regime is demonstrated.

INDEX TERMS

INSPEC Controlled Indexing SRAM chips , logic design , statistical analysis , transistors Non Controlled Indexing 6T SRAM bit cell , bit-cell sizing strategy , bit-cell transistors , high-yield low-leakage design , intrinsic threshold-voltage fluctuations , static-randomaccess-memory bit cell , statistical design Author Keywords Circuit optimization , design methodology , static-random-access-memory (SRAM) chips

REFERENCES

1. B. Cheng , S. Roy and A. Asenov "The impact of random doping effects on CMOS SRAM cell", Proc. ESSCIRC, pp.219 2004 . Abstract |Full Text: PDF (389KB) 2. A. B. Kahng and Y. C. Pati "Sub wavelength lithography and its potential impact on design and EDA", Proc. DAC, pp.799 1999 . 3. M. Choi and L. Milor "Impact on circuit performance of deterministic within-die variation in nanoscale semiconductor manufacturing", IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 25, pp.1350 2006 . Abstract |Full Text: PDF (922KB) 4. K. Bernstein , D. J. Frank , A. E. Gattiker , W . Haensch , B. L. Ji , S. R. Nassif , E. J. Nowak , D. J. Pearson and N. J. Rohrer "High performance CMOS variability in the 65 nm regime and beyond", IBM J. Res. Develop., vol. 50, pp.433 2006 . 5. A. Asenov "Random dopant induced threshold voltage lowering and fluctuations in sub 50 nm MOSFETs: A statistical 3D atomistic simulation study", Nanotechnology, vol. 10, pp.153 1999 . 6. Y. Taur and T. Ning Fundamentals of Modern VLSI Devices, 1998 :Cambridge Univ. Press . 7. A. Keshavarzi and G. Schrom "Measurements and modeling of intrinsic fluctuations in MOSFET threshold voltage", Proc. ISLPED, pp.26 2005 . 8. X. Tang , V. De and J. Meindl "Intrinsic MOSFET parameter fluctuations due to random dopant placement", IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 5, pp.369 1997 . Abstract |Full Text: PDF (244KB) 9. D. Burnett , K. Erington , C. Subramanian and K. Baker "Implications of fundamental threshold voltage variations for high density SRAM and logic circuits", VLSI Symp. Tech. Dig., pp.15 1994 . Abstract |Full Text: PDF (172KB) 10. A. J. Bhavnagarwala , X. Tang and J. D. Meindl "The impact of intrinsic device fluctuations on CMOS SRAM cell stability", IEEE J. Solid-State Circuits, vol. 36, pp.658 2001 . Abstract |Full Text: PDF (204KB) 11. F. Tachibana and T. Hiramoto "Re-examination of impact of intrinsic dopant fluctuations on SRAM static noise margin", Jpn. J. Appl. Phys., vol. 44, pp.2147 2005 . 12. S. Mukhopadhyay , H. Mahmoodi and K. Roy "Modeling of failure probability and statistical design of SRAM array for yield enhancement in nanoscaled

ieeexplore.ieee.org//srchabstract.jsp?

1/3

18-01-2011

IEEE Xplore - Abstract Page

CMOS", IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 24, pp.1859 2005 . Abstract |Full Text: PDF (904KB) 13. R. Heald and P. Wang "Variability in sub-100 nm SRAM designs", Proc. IEEE/ACM ICCAD, pp.347 2004 . Abstract |Full Text: PDF (733KB) 14. SRAM cell with independent static noise margin, trip voltage and read current optimization, 2008 .

15. K. Zhang , U. Bhattacharya , Z. Chen , F. Hamzaoglu , D. Murray , N. Vallepalli , Y. Wang , B. Zheng and M. Bohr "SRAM design on 65-nm CMOS technology with dynamic sleep transistor for leakage reduction", IEEE J. Solid-State Circuits, vol. 40, pp.895 2005 . Abstract |Full Text: PDF (1240KB) 16. E. Seevinck , F. J. List and J. Lohstroh "Static noise margin analysis of MOS SRAM cells", IEEE J. Solid-State Circuits, vol. SSC-22, pp.748 1987 . [CrossRef] 17. J. Lohstroh and E. Seevinck "Worst-case static noise margin criteria for logic circuits and their mathematical equivalence", IEEE J. Solid-State Circuits, vol. SSC-18, pp.803 1983 . 18. R. W. Mann , W . W . Abadeer , M. J. Breitwisch , O. Bula , J. S. Brow n , B. C. Colwill , P. E. Cottrell , W. T. Crocco , S. S. Furkay , M. J. Hauser , T. B. Hook , D. Hoyniak , J. M. Johnson , C. M. Lam , R. D. Mih , J. Rivard , A. Moriwaki , E. Phipps , C. S. Putnam , B. A. Rainey , J. J. Toomey and M. I. Younus "Ultralow-pow er SRAM technology", IBM J. Res. Develop., vol. 47, pp.553 2003 . 19. F. Boeuf , F. Arnaud , C. Boccaccio , F. Salvetti , J. Todeschini , L. Pain , M. Jurdit , S. Manakli , B. Icard , N. Planes , N. Gierczynski , S. Denorme , B. Borot , C. Ortolland , B. Duriez , B. Tavel , P. Gouraud , M. Broekaart , V. Dejonghe , P. Brun , F. Guyader , P. Morin , C. Reddy , M. Aminpur , C. Laviron , S. Smith , J. P. Jacquemin , M. Mellier , F. Andre , N. Bicais-Lepinay , S. Jullian , J. Bustos and T. Skotnicki "0.248", VLSI Symp. Tech. Dig., pp.130 2005 . 20. M. Lavin , F.-L. Heng and G. Northrop "Backend CAD flow s for restrictive design rules", Proc. IEEE/ACM ICCAD, pp.739 2004 . 21. L. Liebmann , A. E. Barish , Z. Baum , H. A. Bonges , S. J. Bukofsky , C. A. Fonseca , S. D. Halle , G. A. Northrop , S. L. Runyon and L. Sigal "Highperformance circuit design for the RET-enabled 65-nm technology node", Proc. SPIE, vol. 5379, pp.20 2004 . [CrossRef] 22. P. Gelsinger Keynote address to 41st DAC,, 2004 . 23. [online] Available: http://ww w.pimrc2006.org/Buss_sLocosto.pdf . 24. A. Srivastava and D. Sylvester "Statistical optimization of leakage pow er considering process variations using dual-Vth and sizing", Proc. DAC, pp.773 2004 . Abstract |Full Text: PDF (545KB) 25. S. Director and P. Feldmann "Optimization of parametric yield: A tutorial", Proc. IEEE Custom Integr. Circuits Conf., pp.3.1.1 1992 . 26. P. Kumaraswamy "A generalized probability density function for double-bounded random processes", J. Hydrol., vol. 46, pp.79 1980 . [CrossRef] 27. A. Seifi , K. Ponnambalam and J. Vlach "A unified approach to statistical design centering of integrated circuits with correlated parameters", IEEE Trans. Circuits Syst. I, Fundam. Theory Appl., vol. 46, pp.190 1999 . Abstract |Full Text: PDF (204KB) 28. Predictive Technology Models for 45 nm, [online] Available: http://ww w.eas.asu.edu/ptm . 29. T. F. Coleman and Y. Zhang Optimization Toolbox for Use With Matlab, 2005 :Math Works Inc. . 30. HSPICE Manual, 2003 . 31. BSIM4.6 MOSFET Model, 2006 [online] Available: http://ww w-device.eecs.berkeley.edu/~bsim3/bsim4.html . 32. International Technology Roadmap for Semiconductors, 2006 [online] Available: http://ww w.itrs.net/ . 33. J. M. Rabaey and A. Chandrakasan Digital Integrated Circuits, a Design Perspective, pp.628 2003 :Prentice-Hall . 34. Y. Tsukamoto , K. Nii , S. Imaoka , Y. Oda , S. Ohbayashi , T. Yoshizawa , H. Makino , K. Ishibashi and H. Shinohara "Worst-case analysis to obtain stable read/w rite DC margin of high-density 6T SRAM array with local Vth variability", Proc. Int. Conf. Comput.-Aided Des., pp.398 2005 . 35. B. H. Calhoun and A. Chandrakasan "Analysing static noise margin for sub-threshold SRAM in 65 nm CMOS", Proc. ESSCIRC, pp.363 2005 . Abstract |Full Text: PDF (1309KB) 36. A. Goel and B. Mazhari "Gate leakage and its reduction in deep-submicron SRAM", Proc. Int. Conf. VLSID, pp.606 2005 . 37. J. Jaffari and M. Anis "Variability aware device optimization under Ion and leakage current constraints", Proc. ISLPED, pp.119 2006 . 38. R. Venkatraman and R. Castagnetti "The design, analysis and development of highly manufacturable 6-T SRAM bitcells for SoC applications", IEEE Trans. Electron Devices, vol. 52, pp.218 2005 . Abstract |Full Text: PDF (1168KB) 39. M. Craig and J. Petersen "Robust methodology for state of the art embedded SRAM bitcell design", Proc. SPIE, vol. 4692, pp.380 2002 . 40. A. Papoulis Probability, Random Variables and Stochastic Process, :McGraw-Hill . 41. H. Nho , S.-S. Yoon , S. S. Wong and S.-O. Jung "Numerical estimation of yield in sub-100-nm SRAM design using Monte Carlo simulation", IEEE

ieeexplore.ieee.org//srchabstract.jsp?

2/3

18-01-2011

IEEE Xplore - Abstract Page

Trans. Circuits Syst. II, Exp. Briefs, vol. 55, pp.907 2008 . [CrossRef] 42. R. E. Aly and M. A. Bayoumi "Low-pow er cache design using 7T SRAM cell", IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 54, pp.318 2007 . 43. S. Cserveny , L. Sumanen , J.-M. Masgonty and C. Piguet "Locally switched and limited source-body bias and other leakage reduction techniques for a low -power embedded SRAM", IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 52, pp.636 2005 . Abstract |Full Text: PDF (224KB)

C opyright 2011 IEEE All Rights Reserved

ieeexplore.ieee.org//srchabstract.jsp?

3/3

Potrebbero piacerti anche