Documenti di Didattica
Documenti di Professioni
Documenti di Cultura
com
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
--library UNISIM;
--use UNISIM.VComponents.all;
entity barrel_shft is
rst : in STD_LOGIC;
cond1 : in STD_LOGIC;
end barrel_shft;
www.btechzone.com
www.btechzone.com
begin
process(rst,clk)
begin
if(rst='1') then
i<=din;
if(cond1='1') then
case cond2 is
case cond3 is
www.btechzone.com
www.btechzone.com
end case;
www.btechzone.com
www.btechzone.com
case cond3 is
end case;
www.btechzone.com
www.btechzone.com
case cond3 is
end case;
www.btechzone.com
www.btechzone.com
case cond3 is
www.btechzone.com
www.btechzone.com
end case;
i<= i;
end case;
elsif(cond1='0') then
cnt<=cnt+"001";
case cnt is
end case;
case cond2 is
www.btechzone.com
www.btechzone.com
case logical is
end case;
case logical is
end case;
www.btechzone.com
www.btechzone.com
case logical is
end case;
case logical is
www.btechzone.com
www.btechzone.com
end case;
end case;
if(cnt="100")then
cnt<="000";
end if;
end if;
end if;
dout<=i;
end process;
process(clk)
variable cnt:integer:=0;
begin
www.btechzone.com
www.btechzone.com
cnt:=cnt +1;
if(cnt=25000000)then
sclk<=not sclk;
cnt:=0;
end if;
end if;
end process;
end Behavioral;
www.btechzone.com