Sei sulla pagina 1di 1

`timescale 1ns / 1ps

/////////////////////////////////////////////////////////////////////
/////////////
// Company:
// Engineer:
//
// Create Date:
01:28:41 01/01/2002
// Design Name:
// Module Name:
toggle
// Project Name:
// Target Devices:
// Tool versions:
// Description:
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
/////////////////////////////////////////////////////////////////////
/////////////
module toggle(t,q1,q2,clk);
input t,clk;
output reg q1;
output reg q2;
initial
begin
q1=0;
q2=1;
end
always @ (posedge clk)
begin
q1=~t;
q2=t;
end
endmodule

Potrebbero piacerti anche