Sei sulla pagina 1di 7

Transient analysis

Cadence (R) Virtuoso (R) Spectre (R) Circuit Simulator


Version 12.1.0.402.isr5 32bit -- 7 Mar 2013
Copyright (C) 1989-2013 Cadence Design Systems, Inc. All rights reserved
worldwide. Cadence, Virtuoso and Spectre are registered trademarks of Cadence
Design Systems, Inc. All others are the property of their respective holders.

Protected by U.S. Patents:


5,610,847; 5,790,436; 5,812,431; 5,859,785; 5,949,992; 5,987,238;
6,088,523; 6,101,323; 6,151,698; 6,181,754; 6,260,176; 6,278,964;
6,349,272; 6,374,390; 6,493,849; 6,504,885; 6,618,837; 6,636,839;
6,778,025; 6,832,358; 6,851,097; 6,928,626; 7,024,652; 7,035,782;
7,085,700; 7,143,021; 7,493,240; 7,571,401.

Includes RSA BSAFE(R) Cryptographic or Security Protocol Software from RSA


Security, Inc.

User: eee435_13 Host: localhost.localdomain HostID: 7F0100 PID: 18993


Memory available: 3.7588 GB physical: 10.3892 GB
CPU Type:

Intel(R) Xeon(R) CPU E5-2630 v2 @ 2.60GHz

Processor PhysicalID CoreID Frequency


0

2495.8

Simulating `input.scs' on localhost.localdomain at 6:28:54 PM, Wed Feb 24, 2016


(process id: 18993).
Current working directory:
/home/eee435_13/simulation/salman/spectre/schematic/netlist.

Command line:
\
/pkg/eee/cadence/MMSIM121ISR_lnx86/tools.lnx86/spectre/bin/32bit/spectre \
input.scs +escchars +log ../psf/spectre.out +inter=mpsc \
+mpssession=spectre1_16442_2 -format psfxl -raw ../psf \
+lqtimeout 900 -maxw 5 -maxn 5
spectre pid = 18993

Loading
/pkg/eee/cadence/MMSIM121ISR_lnx86/tools.lnx86/cmi/lib/5.0/libinfineon_sh.so ...
Loading
/pkg/eee/cadence/MMSIM121ISR_lnx86/tools.lnx86/cmi/lib/5.0/libphilips_o_sh.so ...
Loading
/pkg/eee/cadence/MMSIM121ISR_lnx86/tools.lnx86/cmi/lib/5.0/libphilips_sh.so ...
Loading
/pkg/eee/cadence/MMSIM121ISR_lnx86/tools.lnx86/cmi/lib/5.0/libsparam_sh.so ...
Loading
/pkg/eee/cadence/MMSIM121ISR_lnx86/tools.lnx86/cmi/lib/5.0/libstmodels_sh.so ...
Reading file:
/home/eee435_13/simulation/salman/spectre/schematic/netlist/input.scs
Reading file:
/pkg/eee/cadence/gpdk_database/gpdk090/models/spectre/gpdk090.scs
Reading file:
/pkg/eee/cadence/gpdk_database/gpdk090/models/spectre/gpdk090_mos.scs
Reading file:
/pkg/eee/cadence/gpdk_database/gpdk090/models/spectre/gpdk090_mos_iso.scs
Reading file:
/pkg/eee/cadence/gpdk_database/gpdk090/models/spectre/gpdk090_resistor.scs
Reading file: /pkg/eee/cadence/gpdk_database/gpdk090/models/spectre/resd_va.va
Reading link:
/pkg/eee/cadence/MMSIM121ISR_lnx86/tools.lnx86/spectre/etc/ahdl/discipline.h

Reading file:
/pkg/eee/cadence/MMSIM121ISR_lnx86/tools.lnx86/spectre/etc/ahdl/disciplines.vams
Reading link:
/pkg/eee/cadence/MMSIM121ISR_lnx86/tools.lnx86/spectre/etc/ahdl/constants.h
Reading file:
/pkg/eee/cadence/MMSIM121ISR_lnx86/tools.lnx86/spectre/etc/ahdl/constants.vams
Reading file:
/pkg/eee/cadence/gpdk_database/gpdk090/models/spectre/rnoise_va.va
Reading file:
/pkg/eee/cadence/gpdk_database/gpdk090/models/spectre/gpdk090_capacitor.scs
Reading file:
/pkg/eee/cadence/gpdk_database/gpdk090/models/spectre/gpdk090_diode.scs
Reading file:
/pkg/eee/cadence/gpdk_database/gpdk090/models/spectre/gpdk090_bipolar.scs

Time for NDB Parsing: CPU = 231.964 ms, elapsed = 446.318 ms.
Time accumulated: CPU = 231.964 ms, elapsed = 446.318 ms.
Peak resident memory used = 26.5 Mbytes.

Time for Elaboration: CPU = 58.991 ms, elapsed = 166.035 ms.


Time accumulated: CPU = 291.955 ms, elapsed = 612.832 ms.
Peak resident memory used = 28.9 Mbytes.

Time for EDB Visiting: CPU = 0 s, elapsed = 565.052 us.


Time accumulated: CPU = 291.955 ms, elapsed = 614.072 ms.
Peak resident memory used = 29.2 Mbytes.

Notice from spectre during topology check.


Only one connection to the following 3 nodes:
net14
net014
net15
Warning from spectre during heuristic topology check - set topcheck=fixall to fix all
floating nodes.
WARNING (SPECTRE-7): No DC path from node `net14' to ground.
WARNING (SPECTRE-7): No DC path from node `net15' to ground.

Circuit inventory:
nodes 7
bsim3v3 2
vsource 3

Time for parsing: CPU = 999 us, elapsed = 149.786 ms.


Time accumulated: CPU = 293.954 ms, elapsed = 764.749 ms.
Peak resident memory used = 29.9 Mbytes.

Entering remote command mode using MPSC service (spectre, ipi, v0.0,
spectre1_16442_2, ).

Warning from spectre.

WARNING (SPECTRE-16707): Only tran supports psfxl format, result of other


analyses will be in psfbin format.

************************************************
Transient Analysis `tran': time = (0 s -> 20 ms)
************************************************
DC simulation time: CPU = 2 ms, elapsed = 1.01399 ms.
Important parameter values:
start = 0 s
outputstart = 0 s
stop = 20 ms
step = 20 us
maxstep = 400 us
ic = all
useprevic = no
skipdc = no
reltol = 1e-03
abstol(V) = 1 uV
abstol(I) = 1 pA
temp = 27 C
tnom = 27 C
tempeffects = all
errpreset = moderate
method = traponly
lteratio = 3.5
relref = sigglobal

cmin = 0 F
gmin = 1 pS

tran: time = 501.3 us

(2.51 %), step = 13.88 us

(69.4 m%)

tran: time = 1.53 ms

(7.65 %), step = 53.63 us

(268 m%)

tran: time = 2.523 ms

(12.6 %), step = 30.18 us

(151 m%)

tran: time = 3.529 ms

(17.6 %), step = 29.37 us

(147 m%)

tran: time = 4.525 ms

(22.6 %), step = 30.04 us

(150 m%)

tran: time = 5.501 ms

(27.5 %), step = 30.03 us

(150 m%)

tran: time = 6.524 ms

(32.6 %), step = 30.14 us

(151 m%)

tran: time = 7.508 ms

(37.5 %), step = 13.13 us

(65.7 m%)

tran: time = 8.535 ms

(42.7 %), step = 53.28 us

(266 m%)

tran: time = 9.525 ms

(47.6 %), step = 26.53 us

(133 m%)

tran: time = 10.51 ms

(52.5 %), step = 13.13 us

(65.6 m%)

tran: time = 11.51 ms

(57.5 %), step = 31.64 us

(158 m%)

tran: time = 12.53 ms

(62.6 %), step = 29.47 us

(147 m%)

tran: time = 13.55 ms

(67.8 %), step = 57.12 us

(286 m%)

tran: time = 14.53 ms

(72.6 %), step = 53.99 us

(270 m%)

tran: time = 15.51 ms

(77.6 %), step = 13.1 us

tran: time = 16.51 ms

(82.6 %), step = 62.57 us

tran: time = 17.53 ms

(87.6 %), step = 53.6 us

tran: time = 18.51 ms

(92.5 %), step = 31.55 us

(158 m%)

tran: time = 19.53 ms

(97.6 %), step = 29.45 us

(147 m%)

Number of accepted tran steps =

669

Notice from spectre during transient analysis `tran'.

(65.5 m%)
(313 m%)
(268 m%)

Trapezoidal ringing is detected during tran analysis.


Please use method=trap for better results and performance.

Initial condition solution time: CPU = 2 ms, elapsed = 1.06907 ms.


Intrinsic tran analysis time:

CPU = 50.992 ms, elapsed = 106.17 ms.

Total time required for tran analysis `tran': CPU = 53.992 ms, elapsed = 108.91 ms.
Time accumulated: CPU = 349.946 ms, elapsed = 923.112 ms.
Peak resident memory used = 31.5 Mbytes.

finalTimeOP: writing operating point information to rawfile.


modelParameter: writing model parameter values to rawfile.
element: writing instance parameter values to rawfile.
outputParameter: writing output parameter values to rawfile.
designParamVals: writing netlist parameters to rawfile.

Potrebbero piacerti anche