Sei sulla pagina 1di 276
‘Coordonatoral coleciei Inginerie Blectronted Prof. univ. de. ing. Mircea Boden Colectia de Inginerie Electromied gheduieste cli fundementale eu un nivel eosebit de compettv, atit din puncral de vedere al sbordiii didactice et si din cel al sbordtti stinifice a subiecruu, Dali find geometia variabilé a domenialui de inglnerie elecronicé i dinamica 13 deosebit de accentuatl, subicetele eirilor acesti serii se concentreazd indeosebi pe fundamente, imbindnd abordarea didactcd eu cea de tip inginerese. in acest fel, cle seriei acopert atit cerinjele ingineriei incrementabile, in earé dezvoltarea se face prin contiguitate, oft gi pe cele ale inginerei fandamentale, in care caracteristica majoci a 1000). [Ne putem astepta ea "eforul” unor masini fntr-un caz sau altel, sau “efectele” pe care faceste comutar le au in circuitele masini si fe foarte diferengiate'. Se Invevede, astfet, utilitatea unei reprezenttsi binare in care tranzijia intre numere succesive si fie Tecutt cca un namtr minim de comutiri ee bitilor ce © compun. La limits, ar f foarte wil © reprezentare in care numerele succesive si difere ptintrun singur bit. O astfel de reprezentare este cea in cod Gray. "Yom veden ein tenologie wzsale consol do energie ete propononl co nit Se tant ce se producto cceiele fest la reaiaten acl ante fet bine 2a_ Represent —— Exempla! 2.9 ain cod barf cod Gry este dat de corespondenele urmitoae: 000 -+ 000 01 > 001 010-4 011 7 o11 +010 300+ 110 10111 110+ 101, unl 100 Comer Se observa cd reprezentaea numezelorbinare fn cod Gray alo:4 numerelorsuccesive eo- dri ce diferd minimal - print-unsingur bit. aa=aaesm@ms——srrree?s=ro——— CConvenim s spunem c& intro secvengi de mumirare Gray codurile sunt adia- em (au distanja Hamming minim’). 2.1.2 Reprezentarea in virguli mobil Pentru numerele real, mai bine zis pentru aproximarea lor, va trebui sf folosim 6 reprezentare eu virgula, Pornim de la faptul c& un numa real poate fi aproximat sub forma urmitoate: gD ae Pb a eT PPh Em unde: 2; € {0,1} pentru i = —m,—m-+1,...)-1,0,1,...yn = 1. Reprezentarea binard va fi dat de giral valorlor 2; eu o virguld ce desparte valorile provenite de la _zpsurile eu indici negativi de restul valorilor Exemplul 2.10 Reprezeniares binaricu virgult: 110010, 101 reprezini& num 50,8128 D4 Mat greeter Pent» reprezentamumere mari, rebuie si fie sficient de mare, entra a reprezentanumercle eu suficient arate, mn webu s fle suficent de mare. Pentr a objne eprezentara cu aeutatete a anor nome mar dimensinea reprezentitebue si fle Toone mise. Dimensinnea reprezentsi bina a uni mmr evetelogaritmic. Tn baza doi fogaritmai are buen di. Orcdt de ent af aceast cestere, in anumice situa pote 25 persica numer tntegi Pa 2 anmerica BINAR ‘paraie | SgrOpl | SenOp? | Sania Overiow 77 oy}, o | 0 o o | o}o ]oe 1 o | o |i | o ° o } 0 | 3 1 ° ° 1 o | 0 ° ° 1 o | 1 ° ° 1 1 | 0 1 ° 1 1 fu 0 1 o jo | o 0 1 o | o ft ° 1 oj 1 | o ° 1 o ft 1 1 1 1 | o | o 1 1 1 foo ft ° 1 1 1 | o ° 1 1 1 1 o ig. 2.2. Tobelul cere defineste semnalul de depisire (Overflow) tn funcfe de Operaje, de ‘semaul primlui operand, SgnOpZ, semnul celui de a deilea operand, SguOp?, si semnl rez tatu, Senex, CCazurile in care este depists eapacitatea de reprezentaze se pot identifica in funcfie de operajia executatd, adunare sau seadere, si in funciie de semnele operanzilor side semnul rezultatului. Depisiea (Overfiow) este sermalizatd fn cazurile tn care este detectatio incoeren}a intre semnalele anterioare, Tabelul din Figura 2.2 pune tn evidenga toate situaiile in care operatia nu este permist de Iimitele reprezentarii. Spre exemplu, ddack se adund doud numere pozitve si rezultaul este negativ, atunci se activeazii sem- nalul de depisire (linia a doua din tabel). Exemplal 2.15 . _Adenazea numereor 68 $170 na poate 6 fect cao repreentare de 8 bili deoarece romirol maxim ce poate f reprezeniat cu aceasa este 127. fn-adevr, din operates cu 6 reprezenare de 8 hi exalt, fnt-un mod inadmisibil, un numrnegaty, dup eum vena 2000300 193000110, 0001010 Rezultatul sumei nu poste 6-118! Dacl se adaugd un bit la eprezentare, opeatia devine posi 001000100 (001000110 “9190010107 ‘scum rezultatl este numieul poztv 138 reprezentat pe 9 bit Peni celeste tei eazuri din tabel se pot luz exemple cave s8 confirme fpta e& suma a ‘otk numere negative nu poate da osuinK pozitv8, a.m. Folosirea reprezentirii ca mirime si seman pentru operaile de adunare $i scSdere este mai incomod3, deoarece presupune algoritmi en 0 complexitate mai mare, 2.2.2 Multiplicare In cau operator de tnmulte gi mpfr eprezentarea mai como este cea ca tm 4 semn deoarece semnul rezutatul no depinde decide sereele ext doi Gperaai, Semnete pot fase, prelcrate independent de valoatea numerelor operate Then semnele sunt identi reaslatul este posit atfel el ese nega, Acest fpt se de- vous independent de operat propri 2st. Mai apo, independent de seme, se opereazi éavalorile opeancilor ea numere potive Algoritmul standard pentru inmaltire Ua algociom dicect pentra inmljre este cel cu aduntii repetaie ale deinrnuhjtelui de un nur egal cu fnmuljitorul. Fld al exclude deinitiv din aera powtd, mengioniim cd acest algortm este mare constmator de timp csimplitatea fl reco ‘mand penta apicaile fn care viteza de executie nu contea). ‘Un algritm mai rapid pomneste de In observatiac& times cu 2 a unui itreg roti e poate eliza prin deplasarea (sing) itegitconfgurai bina cu o poziie In stinga 3 inscrierea pe prima pozifie a valonit 0. Probarca acest observa se face ‘rin fpr cl fecare bit al eprezemti capo pondere binar resent cu o unitate Inteadevir: tt aye Eya2™ devine prin shift-are eu 0 pozite la stinga! Bn + ty tet 202! £0 un anmir Ce dou ori mai mare. Fxemphul 236 3h cv 0 poate devine Fie configursia binard 0011008 91100130 102, 2_ARITMETICA BINARA Similar, se poate arita ck impécire éu do, rtegi, a unui numarreprezenta bina est echivelentl cu shift-area la dreapta cu o pozifie. Prin shifteare la dreapta pe pozifa cea mai semnificativs se pune 0. Exemplal 2.17 Fie configuraia binard OOLIGO11 = 51, Pon shift-are la dreapta cv o poziie devine (00011001 =25. Bitul cel mai pojin semnificativ se "pierde”. Valoarea lui eprezins est imps eu 2. Folosind opeatile de shiftare se poate concepe un algoritm de inmuljre eu timp ée execu in O{n). fa Figura 2.3 este preentatalgoritmal de mire prin care prods! P este calelat pocrind de Ia defnmuijtal D si iamultoral . Deoarece produsil a doua numere de n bifi pose fi un numir de 2n bi va trebui i flosim reprezeniare de 2n biti pene calcula prods unor numer dem bit Exemplul 2.18 ‘8 efectuim nmoltirea P = D x I = O10L x 1101 folesind procedura INMULTIRE, [Bapele parcurse vor f urmatoarele: 3, P= 00000101, D = 00010100, 1= 0011 4, P= 00011001, D = 00101000, 1 = 0001, 5. P= 01000001, D= 01010000, |= 0000, Etapa teste stare inal. Penrsct este impar itl cel mai puin sermifctv ese are veloares 1) D se sumeaztlaP. In etapa 2, D este shit la stnga evo poriie ier Leste shittat la deapta cu o poste find par mee va produce sumaces la P a valor li D. ‘Algoritmul se poenese ape a3-a pent cla devi 0 Operajin sa efectuat in pats cic deoarece sit cel mai semnifiea al inmulfitorsui ea valoarea 1. Unele imparii se pot termina mai epee, nfunei de vloarea lui fn etspaa treia a calculului din exemplul anterior P nu s-a modifict. Valoarea Jui Pa fost stabilith prin 3 suméri pentru e& fnmulitorul avea 3 bii ou valoarea 1, Ar fi imeresant de vazut dae mu se poate concepe un algoritm care sf ruleze un numic de cicluri egal numai cu numérul de I-uriale inmuljitorului. In paragraful 9.3.3 va fi prezentat un asf! de algrit, Retinem ca operayile la care s-a redusefectuarea nmi sont achmarea, shi ‘rea, restarea paris testarea valor de zero. 2.2. Opera cu mere turegi Procedure TNNULTIRE P=o while I este aiferit do zero a if 1 este separ then P= P+D enast r=12 b=2 repeat fend THMULTIRE Fig.2.3 Algor deinmuje angio postive P=D x1 Algorita de tp divide et impera ‘Una din principle de baz flosite In contruia aalgoritmilor este “vide et impera”: pntra a ezolva © problem, dvizim problema in dov' probleme mai wor de rezolva. fn cazulnostru prncipul se apie fn felul urmitor: cum se poate reduce Inmolrea numerelr de mdi a fomulirea numereor de n/2 bf? Say altel Formal dispunind de soliapentcu inmulfrea sumerelor de n/2 bit, cum se poste flosi la cferuateafmulfiit numetelor de iit? ‘Si eonsiderdm dows namere de mb A gi. le pot fi scrse sub forma: Aa A, x2? + Ay B= B, x2? 4 By unde: Ay, By reprezinl cei mai semnificaivin/2 bij ai numerelor A gi B iat Ao, Bo reprein cei mai putin semnificatvi n/2 bi ai mumerelor A si B. Cu aceste nota pate sere: AxB = (Ay X24 Ag) (By x2"! Bo) = AsByxD"H( Ay Bos Abi) 2"!24 AoBa crideniind posibiltatea de a calcula produsul A x B folosind produsele, de numere de n/2 bff, AB, Ayo, AaB i ApBo. Primal produs trebuie inmulft cu 2” iar unmitoarele dous cu 2°72, adunate ast, nie ele ge utimul pros Cum se poate realiza inmultirea cu © putere intreag’ a lui 2? Simplu, prin shift- area la stanga cu un num egal cu exponentul lui 2. © variant imbunitgiti a acestu lgortm porneste de la serereaprodusului sub forma: Ax B= A:By x2" + (Ai By + Aabo ~ (Ai ~ Aa)(Bi ~ Bo)) x 2°7? + ADBo Sub aceasti forma trebuie reaizate numai tri produse de n/'2 bili pentru ase obtine pro- 22, exponentul preliminar al reaultaului devine B = ET si vom ‘Seplsa la dreapta mentisa A/2 eu 00001011 pozii ‘2 = ooaoo0900001101010101000 5, (OP,S1,$2) = O01 si M1 > M2 implic& Mf = M1 — M2, adit 100110000000000000000000, -090000009001101010101000 —Fooresrit i00H0705011000~ sisemaol $= 0 “4 Jeourece prim! bit al mantisei este 1, mu va fi necesar8 slinierearezuitatuh oreciateaexponentului, Reuitatol final va ‘0-10001001.96101111110010101011008. ents verificarefacem converse operanzilor si rezultatului. Objinem: Ni 2s +608 1V2-= ~0.41650800625 F = +-607.58249000876 EO eee 2.3.2 Multiplicare Cperajia de muliplicare are un algoritm nai simplu decd operatia de adunare/ seddere, decareve operarea mantiselor nu depinde de semnele operanzilor si nici de vale area exponentilor. Pentn muliplicarea numerelor IV1 si V2, reprezentate in virguld mobil, se poste folosi algoritmul deseris in Figura 2.7, in eae distingem urmatoarele ctape: 1. calf semmolu rezultatul 2. ealeulut exponent prliminar prin sumare (seiderea valorii 127 este necesar pentru ca valoarea exponent si rind reprezentat in exces 127) 3, calculel prodasului mantiselor vizute ca tntregi poztivi 4. alnierea finals a mantisei cu pe prime pozitie (Jacl ambele mantise au valoarea 100... .0 atunei produssl lor va fi 0200 ...0) i corectarea exponentui. Aw fost introduse gi testele pentru semnalizarea depasiriicapacitiit de reprezentare. Se ‘produce “overflow” atanci c&nd exponentul iese din intervalul (127, 128} Exemplul 2.22 ie numer: v1 = 0.10001001.901,10900000000000000000 1.91111110.101L01010100900000000000 M 2_ARFIMETICA BINARA Procedure MULTIPLICARE af (Si = 52) then § = 0 oles S= 1 onaiz B= Et + 22-127 if ( im afara intervalulyd (0, 255]) ‘then se’ Senalizesza "overflow" endiz Hs coi mai semnificativi 24 de biti ai produeulut mantiselor Sf (col mai semiticativ bit al H eate 0) ‘then tf = 24 Bt <0) ‘then so somnalizenza onait ena fend MULTIPLICARE Fig. 27 Algorianul de multiplicere fn vingula mobitt Mi 190110000000000000000000 Me .10101010100000000000000. Pentra inmulprea lor efectui urmstoarele opera |. semnele find diferite semnul rezutatului va fi negativ, $= 1 2 exponent! preliminer reaulé: = 10002000, coresponzind lui +9 3. produsul mantselo vzute ca intregi de 24 debit ezull sub forma numscului de 48de 011111101001111000....0 in cae etinem: ‘Mf = 011111101001111000000000 4. prin alinire rezult 2M = 121111010011110000000000 $i corectarea expunentului la valoarea E = 10000111, ce corespunde tui +8, ‘bjindnd asf rezulawu: R 10000111 11112010011110000000000. Prin conversia ii R tn zecimal se objine ~258, 284375 = 608 x (—-0.41650390025), sc scree | 2.3. Opera vinguld mobi as Procedure CAT ig (S1 = 82) ‘then $= 0. elge.S = 1 endif B= 21-524 197 Af (E in afara intervalului [0, 258) then se semnalizeaza "overflow" endif N= catul po 24 de biti ‘intro Mi ei M2 if (M mu este subunitara) ‘thon M = H/2 B= Ee Af (E> 255) then se semalizeaza "overflow" endif endif end CAT ig.28 Algoritmol de tmpigite fn virgult mobile 2.3.3 Divizare Divicarea fn veguld mobi are an slgorium foarte aseminitor celui penta Inmuljie. Asemdnarea este accentuate fat ef mangle tn sceatt eprezenare sont alniteastfelincatimpigirea acestora, vite ea numereimegi poztve, poate incope direct (fr shtt-ile impor ea tn cara nrg). Jn Figura 28 exe reat algortmat pent inpiciea numereorreprezetate mn virgu mobil, Distingemn urmitoarele etapet 1. calculal semmutui rezultatulu, Ja fel ea fn cxzul tumulsti 2. ealeulul exponentului prin scidere si corectie cu +127, pentru a pista reprezentarea in exces 127 3. {mpfiires mantiselorinterpretate ca numere subunitate de 48 de biti, eu rezultatul pe 24 de bigi 4, alinierea rezultatlui, ta fel ca th cazul tomoljisii (atunci efnd mantisa ‘mpiinitorvlui este mai mare decét mantisa defmpasftului, mantisa rezultat are pe prima pozie valoarea 0) ARITMETICA BINARA Exemplul 2.23 Fie don numerereprezentate i ieguld mobili ™ 1.1000911.0011 1009000000000000000 Ny: 3-10900001.101090000v00000000000000, Pent a calcula catul lor (IV1/N2) vum procede in urmtonrle et 1. $2 si $2 find diferive, rezuit $ = 1 2. pentru calcul exponentuls peliminar serie: -E = 1000011 ~ r000001 +-01121111 = 10000001, 4. clea mantselor M 190111000000009000000000 130:90000000000N0000000K an 4 ete neces corecin exponent sant! deorece mamisa este de & 0,XX...KeuX € {0,1} “ee 5. fom is a ez ee +. toon0on1-1090900000000000000000. Exemplul 224 "dou ume represen vrs mobi uM -1000011.11100009000000000000000, ‘N'2= 1.1000001.00000000000000000000000 Pent a caleua etal for (V1 /IV2) vom proveda im uerstoarele tape: 1. SL = S2= 1 implict S$ 2 peniruealculul exponentul preliminar seein; B ‘o0vor1 ~ 1900001 401112111 3, cltul mantsetor -M = 11110000000900000090000 : 1D00N000000000KKION0N000 = 1, LX 4 corecitin mantisa la valores ‘AE = 0, 111090000000009090000000 jar exponent vs fs ol ooreeat B 600001 + se009010 x 5, Forma final a rezultatlul este ‘0-109900210.1100900000000000000000. oe CComplexitatea mai mare a algoritmilor pentru numercle reprezentate in virgul smabit implica gi cite mai complexe. in locul crestexti complexitati in tehnologiile Troderne, se prefer, din ce in ce mai mult, cresterea dimensiunii, Astfel, ne vom tot thin oe in ce mai des ext unit artmetice de mari dimensiuni in virgull fini. Acestea ‘cuolvé operatilaritmetice cu mare acuratefe pentru un domeniu de valor extins. Este preferati complextii dimensiunea mare. 2.4 STRUCTURIDE CIRCUIT fn finalal acess copitl, serie pentru a spijni domeniel circuitelor mameree, svomnfncetea si dtm 0 imagine ace ar putea f un circuit. Yom defini tn mod abstract {Jour eategori de module. Unele vor realiza funetiuni elementare gin etegora celor fotosite hr acest capitlaltele vor sprjni elizaea mecarismelor de seevenjare puse tvidengl ev ooaza deseretit uno algoviimi. Aceste mecanisme folosescfunctie de ae temporaré a valoilorunor variabile. Pentru aceasta funejie de stocare(memorate) ‘om introduce stuetra de rgistru impulse va “scurge” diferit prin cole dovs tipur de stoeturi, Contin prin smodulel functionals isret prin registe, Ca tefernjf de timp vom foosi un sea special nuit eeasul sistem 24.1 Ceasul CCeasul sistemului, sau pe scurt: ceasul, far uneoriractul saw clock-ul, este un semnal periodic dreptunghiular de forma celui din Figura 2.9. Notafie eurenti pentr acest semnal este: CK, Acest somal marcheazi discret scurgerea timpului. ‘Timput reste 0 variabilé continva int-un sistem sincron, El se scurge discret prin intervale ‘aie cu 0 perioad® a semnalolui de ceas. Semnadul de ceas marchea78 scurgerea unui interval de timp discret, folosind pentru aceasta una dintre tranzipile sale, cea pozitivi in exemplul dat fn Figura 29. Tranziiile unui semral dreptunghivlar mai sunt numite gi fronturi, Spunem, deci ck frontul activ al ceasului din Figura 2.9 este frontul povtv Numim palier pozti al ceasuluiintervalul de timp dintre frontul pozitiv gi cel negativ. Polierul de zero al eeasului este intervalul de timp dintre tranzigia negativ8 st cea pozitivl 2.4.2 Structuri funetionale elementare La sféesitul acestui capitol este utili 0 recapitulare a funcyilor elementare im- plicate fn algoritmis deserisi, Aceste funcii vor fi cele pe care va teu sl le wmarim cu 2._ARITMETICA BINARA } Fit tH 2 3 timp Fig. 29 Seralul de cea, activ pe frontul pozitiv. comPL comp ys SEL. 10 Stractielementers x Comparator e Selector. Regis, £ stor. b Incrementator. ¢. Circuit 6e complementare. d. 24 Sietride cite 2 cea mai mare atenfie pe parcursul studieriicircutelor digitale. Optimizarea realizri lor ‘va garamta proiectarea efcienté a celor mai complexe funcfii numerice, gi nu num "Vom evident, Tn consecinj& urmitoarele func gi structuri numerice ele- + sumarea intregilor poritivi, réalizabil& cu o structuri ce are doul intrlri, dem big fiecare, pentru operanzi, OP, OP2, si 0 iegire, de m bifi pentru rezultat, R (vezi Figura 2.102 unde au fost addugate gi intrarea de transport (carry) de Ia un cordin binar inferior, CR, $i iesirea de carry cXire un ordin binar superior) «+ incrementarea si decrementarea intregilor pozitivi, util& fn complementul fap de 2 in realizarea diferitelor "corecfii" (in Figura 2.10b este prezentat modul in ‘care un sumator poate fi transformat fntr-un circuit de incrementare) ‘+ complementarea bit cu bit necesari pentru calculul complementului fayé de 2, are 0 intrare gio iesire, ambele de cate n bifi (Figura 2.10c) ‘+ comparatia cu o valoare oarecare, folositi pentru decizii n rularea unor algoritmi de calcul; are dow’ intriri, A $i B, de cfte n bisi si dout iesiti de un bit (A = B, A > B) ce iau valoarea 1, daci este indeplinits conditia (de egalitate sau inegalitat); altfel, dack nu este indeplinitt conditia, iesirea ia valoarea 0 (Figura 2.104) ‘» selectia unei variabile din dowd, ca urmare a unei decizii Inate 7m evolugia unui algoritm, cu ajutorul unui circuit cu doug intrir, gi I, gio iegire de cate n bi, a ccare se adaugt intrarea de sélectie, S, astfel incat iesirea este egal cu valoarea de pe intrarea 0, dact $ = 0, sau este egald cu valoarea de pe intrarea 1, dack (Figura 2.102). ‘Toate structurile elementare reactioneazXlavaraile de pe intr eu o ntreiere até de “propagarea”efectelor semnalelor de la intrare pint aiegire. Vora nami aceastk intiziere timp de propagare pin circutl C, tc. In Figura 2.1 1a este sugerat modula care iesirea unui sumator,R,rispunde Ia variile intrvlor, OPI si OPO. Primele douk forme de und sagereazi fapul c& la momenta fo, pe intrile sumatorului se aplicd numerele N1 si N'2. Acestfapt este reprezentat prin comutarea din O in 1 si din 1m D asemnalului OPA, pentru a sugera cf o parte dintze bil de pe aceasttintrare comuth aru gens iar lil malt sens, rd a avea importan, pentru aceast reprezentare, care sin ce sens comutt. Comportamentul iegeii sumatorului, R, este sugerat prin cea de 2 sia form de andi, unde distingem un interval de incenitudine pind fn momental 4: eld iegitea se "st ia valoarea N1 + 1V2, Interval de timp dintre to $i 2, o-te datrat timp do Tntraiere (propagare) pin’ la iesire a efectului modifier Numi acest interval de timp timpul de propagare al sumatorulu s- notim 4 tysuar cross Este timpul fa cae eircuitul “se gandeste", interval de timp tn care ‘vita civcutulud ne are nic} o semniicaie, orice varajie produss in acest interval (i * destle)trebuind si fe ignorati, Intervalul a fost hagurat pentru a se sugera faptul ‘toi bide iesire com in acelagi moment. 0 2_ARITMETICA BINARA 25_Suvetride calcul binae au : [Ne vom stridui, fa capitolele ce urmeazi, ea, pentru funejtie amterioare, si prezentiin structurifizice simple, minimale si rapide. or0, io 2.4.3 Registrul M Rularea unui algoritm presupune fn majoritatea etapelor modificii succesive ale 7 t valosi unor variabile, Valorle suecesive ale unei variable sunt "stocate” (memorate) cu wa : fs Ry mp tysumaTOR cx a ‘ 1" war we 7 ' ovr, d, rr a o | _ “et b tr Fig. 2.11 Comportrea fn timp a stweturilr clementare. a, Snatorl, ea exezapl de sructar elementar funcional b. Regist iuorol unr stuctri speciale numite registre. Conjinatal unui registra se modifi comandat de frontul ati al unui sernal i cea. in Figura 2.10F est reprezentatsimbolul pentru un segistru. Cu frontul activ a. impalsului de ceas valoarea de pe incre este tnedrcaid In registra, devenind accesibils la ese. In Figura 2.11b este prezentat modul de funesionare al unui registu. Inanite de primal front pocitiv a ceaslui, CK, pe inrarea registrlui se afi valoarea VAL. Ca tumare a fromului poziv VALI se inscre tn registra apar la igirea acestuia cu 0 intarziere dati de timpul de propagare, tp. Comutarea valorii de pe inurare in VAL2 nu ‘a afectastaea registuui decdt prin cea dea dova comutare a ceasulu, cd se substi- ‘oie valrii VAL. fn interval dinte cele dou frontur ative consnutulregistrului ma se va modifica, cu toate eX inuarea sa se medics Trebuie remarcat faptu cf iegirea repistrului nu urmieste vaiaile iri Starea hi se modifict numai ca urmare a comutitifrontului acival CX, la momente discrete de timp, Starea li este format dint-o secvengt de str eu evoluie discret ” Registrul are ofunctie de memorare temporard, in intervalul dintre dou’ fronturi active, a valor inti “git” de primal dite cele dous fromuri active. 2.5 STRUCTURI DE CALCUL BINAR Modulele descrise in sectivnea anterioar pot fi interconectate astfel incdt s& vealizeze diferite operat sritmatice, din categoria celor discutate fn acest capitol. Prin imerconectare vom putea juca un fel de LEGO eu structuri functionale elementare si cu -regisire, Regulile de imerconectare sunt simple: L. orice iegire de n biti poate fi conectat la 0 intrare de n biti (conectarea serie 2 dou module) 2. mu puter conecta fompreuna doua sau mai multe iegiti (se vor “lupt pentru 2-si impune semnalul din conexiune) 2. acceasi ‘mociule) inte ele poate fi conectati la mai multe intriri (conectarea in pavalel a dou’ 4, prin conectarea sete si/sau paralel a unor module functionaie (elémentare) se obgine tot un modul functional (iniririle acestui now modul vor urmari continu, ‘cx intdrzierea asociat, variayile intrilor) nu pa 2_ARITMETICA BINARA Ap come. T a t en I SuMATOR cp four Fig. 2.12 Cireuit sumatorscizttor sm conecta iesirea unui modul funcfional direct fa una din intririle sale una de (bucla ce se va inchide trece eircuitul intro stare de indecizie sau blocare) 5. imerconectarea nor module funcjionsle cu cel putin un registru conduce Ia un ‘modul seevential, a cirui stare evolueaza discret sub acjiunea ceasului |. puter Hichide bucle numai pe ci ce conyin cel pusin un registru (bucla nu se ‘va mai fnchide asincron, ci fnt-o manier% contrat, generind un comportament coetent) 3. semnalul de ceas, CK, se aplicl numai intririlor de ceas ale registrelor (regul dat sinitoasi. neobligator Exemplul 2.25 . Realzareascideri, ne reamintim, presupune complementu fade? al sclzitorlu. Pen teu al realza,scazitorultrebuie complement fajt de 1 (complementare bit eu bit) st ‘sumat cu |. Circuitu} pe eare-l propunem este reprezenat fn Figura 2.12. Penau semnalut S/S = 0 se realizeazd operayia de sumare, A + B, iar pentru $/S de setdere, A ~ B, Semnalul $/S este folosi: 1 ca bit de seleeie pentru circutul SEL, pentru a selecta fntre B (pentra sumt) si complement ft de | al acestuia (pent scidere) + cabitce comandi adunarea cu! a sumel A+ B (prin C9 = 1), penis completa caleulul complementul fat de 2 necesar seer 225. Strctui decaf! binar our Fig. 2.13 Cireit de deplasare dreapa, Tmediat dup modificarea intrrilor A si B, se declangeazd procesut de propagare prin structurd astel e era rece prints regi wrenztori ce dureazX pink cod se Mcheie Si cel mai Jung proces de propagare prin Inreaga suuctura. Timpul de propague va fi: fase = thcompn + tyset + tasustaTOR Exemplul anterior @ presupus unmai intereonectarea unor module funejionale clementare. Din acest motiv el imine un modul funejionel. Exemplul urmtor propune ‘un modal secvential. Bxemplul 2.26 Pentru realizar funcyiei de sift-are la dreapta vom folosi modulul seevenyial din Figura 2.13. In REG A se poate insrie un numis de n biji A, dace SHR = 0, cireuitol de ‘elecfie SEL adueind la inrarea rgitrului valoarea de pe iwarea IN. La primal impuls de ceasregistul REG A se va ncbrca, ‘Atunei cind SHR = 1, in regisiru se va inseri, sincron ou fecare front activ al cea- ‘solu, valoarea 4/2. Ineadevie, la inrarea 1 circuiului SEL se apc pe cel mai seraificai bit valoarea.O Gintreres este conectat la mast), iar pe urmatoi m ~ 1 bi se ape Ay, .--,Aay ite bital Ap este ignorat. Asifel, dack hainte de frontal 2e- tiv Jn regina se ald valoarea: Ap y,..., ap ea utmare a frontulu activ, se va fnsrie in registra valoarea: 0, Ayy).-.yAn. La urmatorl front activ conjnutul cegistrulvi devine: 0,0, yjy+--s Aa i ot a3, plied tof bi devin 0, dup cate starearimne neschimbati pin a 0 noul comand de incirare (SHR = 0). ‘Modulul din exemplul anterior congine o conexiune de tip bul. Funcjionarea sistemului riméne coeremtS datoritlfaptului ci bucla congine un registru, Evolujia seni- nalului pe bucl este controlati de ceas, atfel Int, iesirea este tot timpal stabil8. Atunci “ 2_ARITMETICA BINARA [ibescrierca coaportanontala a eircuitaini sunater-scarator, yealizate ierarhic prin trei module care sunt asanblate inty-an 21 treitea.+/ module COMPLEMENT(out, in); . input (15:0) inj output (18:0) outs assign out = “in; ‘endnodule module SELECTOR(out, sel, in0, int); input sel; Amput [18:0] ino, int; output [15:0] out; regl15:0] out; alvays \" a(sel or in0 or int) Af (sel) out = int; ‘else out = ind; ‘endnodule nodule SUMATOR(out, cr0, opi, op2 Smput cr0; input [15:0] opt, op2; output [18:0] out acsign out = opl + op2 +-cr0; ‘endnodule wodule SUHATOR.SCAZATOR(out, com, opt, 0p2); input com; input (15:0) opt, 072; output [6:0] out; vireliS:0) vt, ¥25 COMPLEMENT CIRCUITI (v1, op2); SELECTOR CTRCUIT2(w2, com, op2, wi); SUMATOR CIRCUIT3(out, com, opi, ¥2) endnodule ‘VeriBox 1.1 2.5 Siructuride calcul binae as cand consintul segistrului se modifi, acest luru poate afectaintrarea iui prin cireuital ‘SEL, car acest fapt nu mai este esimfit a iesie deoarece frontul activ a treet gi starea yegistului nu se va mai putea modifica dec&t cu urmétoral fron activ, Foiatar de test al cixeuitulul sumator-scazator. Hodulul contine Carcuital propriuzis ei generatorul de operanzi si comenzi.*/ sodule TEST_SUHATOR SCAZATOR; eg coms rog{15:0) opt, op? inivial #30 $stop; initial begin con = 1°00; opt = 16°bI111; op2 = 1620100; #10 con = 13 #10 opt = 167001; initial Snonitor("Tine=%0d com> OPI=K> OP2b SUM“b", Stine, com, opi, op2, OIRCUIT.out) ; endnodule /eRozultatul simvlarii functionarii circuitului+/ Time=00 com=0 0P1=0000000000001111 P2#0000000000000100 su=0000000000010011 Time=10 com=1 0P1=0000000000001 111 0P2=0000000000000100 ssurt=0000000000001011 ‘Time#20 cont. 0P1=0000000000000001 0P2=0000000000000100 Sumeti1.a21ii11a1101 ial | SuWATOR,SCAZATOR CIRCUTTACout, com, opf, 0922: Stop at simulation tine 20 ‘VeriBox 1.1 continuare| ‘Trebuie Mndeplinitd rotugi o condifie! Dack notém cu tex perioada ceasului Gmvervaiul dintre dow’ fronturi active), atunci tebuie ca: three + tpsex < tox. Facil aceastt condijie nu este Indeplinit®, atunci frontul activ va fncarca in registra 0 cesfigurajie binari nestabilizatS, de tipul celei din zona haguraté in Figura 2.1 1a. Sem- ‘al pe bucls, determinst de comutares registrului cu frontul ativ al ceasului, trebuie 2_ARITMBTICA BINARA iS Lonpont Teh iomon | 4 Vaan? Let saa? T T cK7P__REGD cp _REGE | —. Dya2y-++,Do mtreenh SUMATOR "sera s| i ‘COMP SEL 4 STOP T REGP cx jour ig. 2.14 Circuit seeventat de fame @ dou8 numere naturale s& ajungd la intarea registrului Tnainte ca s& se produc urm&toarea tranzitie a frontulut acti al ceasula Exemplul 2.27 Pen reatizareaprodussui a out numere naturale e poate consi un modu seeveyial care sl funetoneze conform slgeriamuloi descr pein procedura INMULTIRE (Figura 23), Mosul seovenyal props fo Figura 2.14 confine wei regsve: REG D penta dsinmel, REG I pentu tamales REG P perraprodas. SEL 1 i REQ D formeazh un iteuit de shift-are la dreapta (inure ev 2). SEL.2 si REG Yformeazhun cient de shifare la stanga apie eu 2). SEL 4 exe folosit pete iniglizrea pe revo a reg isl REG P (penta LOADOP1 = 1), SEL pemit nctrearea fa egsuul REG P sume dintre REG P si REG D (penta fo = 1), seu mentinevea nemodifiatéaconjnutlu registlui REG P (penta Jo = 0) ‘Modul functioneazd Tn uemitoarele faze 1. inet Jn REG D a operandulai OP!, apicat pe intarea IN, gi inijalizarea reg- EEG P la valoaea zero, prin comands LOAD OPI 25. Since de leu! binar a 2, tncrcaresregistrolui REG T cu operandul OP2, thie timp aplicat pe intravea TN, fnedrere ex declangeszA, Incepdind eu ciclul uniter de eees,seevenja de aeumulare a predusului REG P 13, tunnatoarele, maximum n ciel de ceas la P se sumeaz valosreaD duct D se inmuljeste cu 2, acl a mparte Gn ines ew dot 4. procestl se repeté pin cind I devine O,fept semnalat de actvarea iegirii compara forulu de egalitate COMP, moment din care Prime nemadieat si poate f citi ea isirea repistrului REG P. (0 nous ectvare a sermnaluui LOAD OP! va declanga un now cic de operre ee podule SHIFTER(owt, clock, com, im); input clock, com; input (:0) inj ‘output [7:0] oxt; reg (720) outs vire(:0] vi; SELECTOR CIRC (4, com, in, out >> 1); alvays \* a(posedge clock) oat = vii ‘endnodule nodule SELECTOR(oUt, input 601; input (7:0) in0, snt; output(r:0) ont; regl7:0] ovr always \* a(cel or in0 or int) Sf (gel) out = ant; else out = ind; ‘endxodule 1, ind, int); ‘Aceste ulime exemple, cred eX ne motiven7i suficient pentru a ne stridui s& par- ‘corgem urmftoarel capitol in care vom afla cum putem construi modulele functionale ceiementare, cur: puiem construi registree si eireutul care tnkinjuie comenzile LOAD ‘OPI, LOAD OP2, “urmireste" evolutia seronalului STOP sau comandi selectarea oper- snzilor OP! i OP2 pe intrarea IN. Vom afla toate acestea pain in capitolut 9. Sper ca Dink aturci si cipitim suficiente motivai gi pentru a parcurge ultimele capitole. 48 2_ARITMBTICA BINARA nodule TEST. SHIFTER, ‘og clock, com reg(?:0] ins initiol #200 step; snitial begin clock = 0; forever begin #10 chock = 1; #10 clock = 0; end end snitiel begin SHIFTER SHIFTERI(out, clock, com, in); initial Smonivor ("Tiae=YOd CK=Yfo cont et OUT in, SHIFTER! out); Stine, clock, com, Tiie=000 C40 con-0 Tii=11001010 Timex010 CX=1 come 1%=11002030 ‘Timee020 CK+0 cons0 1¥=11001010 ‘Tsmee030 Cke1 con=0 In=11001030 ‘Tames040 creo smv=11001010 Time=050 Ck=t ywe11001010 Tenex080 ko W=11002010 Times070 chet IWe1s001010 ‘Tines080 Ck=0 Ne11001030 Tame=090 ket Tie11001010 ‘Time=100 Ck=0 Ti=11001030 Tien 410 ket ie13001020 Tine=120 Ck-0 ie1:001010 Time=190 Cet Tie11001030 Tam0=140 CK=0 Time=150 Chet ‘Timee160 Ck=0 Time=170 Chet ‘Time=120 Cio i=11001010 Timen190 Chet 11001010 Stop at sinvlaticn tine 200 mnim11001010 Tie11001010 11001010 11001010 DvTexxxcaxax ur=1:003010 DuT=12001010 our=13003010 avT=s2001010 ovr=01100102 avT=01100101 ‘007=00110010 ‘ovT=00110010 ‘9uT=00011001 ‘ovt=00011003 ‘9T=00001100 ‘9T+00001100 vT=90000130 ‘ovr-00000130 ‘ovr=0000001 ‘vT=90000031 ‘vr=90000001 ‘uT-00000001 ‘avT=00000000 VeriBox 1.2 continuare nner ential tS eSShSeR Al RADARS AES Ra NU ES aan eS HM 2.5. Sirveuri de calcu binar » PROBLEME problema 241 Convert zecinal undo reg epecent bina: 1010101, 14000111. ‘010111 Problema 22 Conver bina flat agora pretenat ysecfunea 2.1, umdtoarele sumere es postive: 28 337, 1025 Problema 2.3 Concepef algorimal pena conversa inno bazd de mumerae m < 30 Comer baza 8 wmatoarele numer cine: 16, 363, 2367 Problema 24 Care este veula de comercef bora dot @ numerelor subwrtare exprinare Mk onal? Comet uo eprezentare cu 8 Bip, manerele 2,71 163,14 Problema 2.5 Cun cree poate fi folsttrepretentrea bina tecimal en exes wel la oearea sede? Dap in exer Problema 2.6 Realzji umatoarele opera binare olsind repreenareshireior pei Toe 45, 18+ 254, 348+ 154 Problema 2.7 Folosind reprezenarea turegilornegativi prin complement att de 2, reaizoi unadioarele pera fs binar: \28-+ (—15), 17 +26, 45-(-14). Problema 2.8 Concepet!algerimml de seadere pentru unmerelethirepi cu semn ce folosese reprezentarea cu marine si ser, Problema 2.9 Seri! seevenja de numarare th cod Gray pentru numere binare reprezentate pe 3b se 4 Di. Problema 2.10 Concepeti tm algoriim pentru tunuljivea gi wnul penn Impdrgirea numeretor Innregi x nuanerele negative reprezentace prin complement od de 2 Problema 2.11 Repezentoi virgula mobild numerele 123,321 i 345,543, pe care apoi eve nul mpg folosind reprezentarie obinute. Problema 2.12 Core este sinpul medie al nultrit realzate cu algoritaul INMULTIRE (Ex- cenplul 2.27), estima ox nema de cleturl de ceas. Problema 2.13 Exide! algortmut "sadionlui" pentru extragerea radicaludui din numere Problema 2.14 Desenaficicuital cre realizeard, comandar cu un bit notat F, wn famaljitor- Impaitor cu 2 al numerelor tures positive eprezentate pe ‘6 bi. Penuru F = 0 se realizeazh romaine, iar pentru F = 1 fmpairea Problema 2.15 Desenaficireuitul cave reolizeazt fumuttirea, inn Dire pocitiv repreventa cu biti, cw.o puere bul 2 specfcara prin numsiral de 3 big E. Fevblemn 216 Dezenayicicuitul care realizeced Dumulfirea prin algorimad divide et impera prezeniat th secjunea 2.2.2 Prebiema 2.17 Desenaji circuitul care realizeazd {nmalirea numereleor reprezentate fhn vir. (put mobil, folosind nunai module fancjnale de tipul celor pezemtate fn Figura 2.10, cu except rogisiral. 0 2_ARITMETICA BINARA ‘TESTE VERILOG VeriTest 21 Descrieti umulftorulsecvental din Exemplil 2.27. Concepef gi vm modul detest pentru fanitor VeriTest 22 Sinulog tamuijitoral divide et impor stesay-L VeriTest 23 Swit in Verilog tumultvorat cu o putere a lui 2, enungat fro problems ante- Foard. | | 3 TEORIA AUTOMATELOR Agoitmi prezentaj in capitol anterior au fost desrigi ca secvenge de opera ‘nate fn fanctie de testarea unor valor sav a unor rea nce valor. Si evenim supra procecuri CAT (Figura 2.8), care desriesecvenja de comenzi generate, cite dispcitive ce executé operat clementare, pent realizareaoperaiel de impénire ‘Virgult mobili. Pornind din starea inijiald este testat relatia dintre variabilele $1 S52 Daci valorie variabilelor sunt identi este comandatlsetarea variabilet S pe 0, Af pe I. Apoi in starea urmitoare, este comandatacalclarea vali variable. fn trol pas, Tn funcie de valoarea variable Ese genereazi sau nu o secvent& de comenci. Masina care pote gener aceasta secveni posed o “autonome intern8” cei pemite sk evolueze conform net reguli“prori ce se muleazi pe recuse tests foe variable exerioare. Aceste tipi de magi’ sunt eunescate sub numee de auto- rate ‘Un automat este un dispozitiv care posed dou’ caracteristici importante: ++ o-evolusie parjial independenta de sernnalele receplionate din exterior. + Pispunsul acestui dispozitiv la un stimul exterior poate fi diferit in functie de mo- rmentul fn care stimulul este recepsionat, “Acelasi stimul, aplicat la momente diferte de timp, poate gisi antomatul in stiri distinete, provoednd o reacjie distinct®, Conceptul de stare este fundamental in sdescrierea unui automat. Starea este 0 variabila interna ce evolueazs partial independent Ge intrare, find responsabils de comportamentul autonom al auiomatului. Chiar dack intrarea unui automat este invariabil, stares Tul interna se poate modifica. Din acest neti un automat poate avea un comportament variabl pe iesire chiar in intervalele de timp in are intarea lui este invariabilt ‘Automatele sunt responsabile de generarea unor comportamente indepencente Insistemele digitale. Ele vor controla executia algoritmilor ascciatifunctilor masinilor 2 3. TEORIA AUTOMATELOR digital Teoria care desrieautomatéleest.un instument de bez in proectarea gi re- alizare sistemeor digitale. Aproape ttl se reduce Into masiné deta la secvenfarea ‘nor eperafi,ce otf elementare sav pot fi, la rndul lor, realzate tot ea secvenge de opera elementare, O parce din fnefileelementare a fst stat fn final eapitoluli anterior. Acestes, Impreani ca atle, sunt secvenjte sub controll unor automate mi ‘simple sau mai complexe. In acest capitol vom desrie formal sstemele automate pe scurt: automatele. ‘Vom sborda in acest capitol urmatoaree subiecta: ‘+ definitile si proprieti{ile automatelor finite, prezentate sumer, n miisura in care se dovedese necesare pentru a sustine tehnicile de proiectare prezentate in capi- tolele urmatoare reprezentarea automatelor, sub forme ulilizate pentru definirea Jor sau sub forme utile tn diverse etape de proiectare ‘+ minimizarea automatelor finite, ca tehnicd utilé reducerii dimensiunii, dar mai ales uit reducerii complexitiit + conversia Mealy-Moore si Moore-Mealy a automatelor finite, ce se va dovedi foarte practic etapa de definire m care trebuiese realizate reconsidertti in funcfie de restrcfi date de cuplarea cu alte subsisteme ‘ reprezentarea cu blocuri functionale si registre pentru a da o primi imagine ‘supra modulUi de realizare concretl a automatelor si pentru a motiva concentrarea cititoralui pe subiectele direct implicate 3.1 DEFINITHI $I PROPRIETATI ‘Un automat este un sistem definit de variabile ce iau valori discrete ce pot fi ccodificate binar. Schema bloc a oricirui automat descris in aceast& care este de forma celei reprezentate in Figura 9.20, unde intrarea, IN, recepfioneaz% un cuvant de n bii ce partine mulfimii valorlor de intrare, X, iar iesires, OUT, genereaz4 un cuvdnt de m bi ‘din mulimea valorilor de iesre, Y. Raspunsul Ia o valoare aplicatdintrérii va depinde ‘i de starea intern ce apartine une! multimi Q de valori codificate binar. Definisia 3.1. Un automat este definit prin evintuplul: A= (X,Y, Q.f,9) ‘ar entitaite cel compun au urmatoarele semnificayi: + X--este muljimeafinita a configuragtior binare de intrare + ¥ - este multimea fnité a configuragilor binare de isire + Q- este mujimea configurajillor binare de stare ricci banners canto sees aac oN teaiNSS REND Uae a1 Defniisipepiesp iInAe]Sitemauomsat | Aur Fig. 31. Sistemol auomat # f -functia de tranzife a strié interne @ automatului, de forma: $1 XxQ4 PQ) «6 9 fnctia de tranzije aiesritautomatul, de forma: o:XxQ> Pry pentru varianta de automat Mealy si 9:Q>P0) ‘entra varianta de eutomat Moore nde, am notat prin P*(A), mulpimea nevida a parfilor muljmil A. 0 Diferega dine cele dv spur de automate, Mealy si Moore, este att de foul ce deal doles ae ieieadetersinaté numa de sae intern. Tien sie” varaile int stit milo de starea intern. DDomeriul de valor al eelordout foncfi de traniie mu este Q si Y, deoarece ni anumit element din X x Q sau Q pot shi corespundd, rei, mai multe elemente din Q sau. Tn aplcaile practice vom Iuera en varanaresctivs dats prin defini ce urmeazh Definigia 32 Daca orice element din P*(Q) si PY) are cantinalul 1 (conyine un singur elemen:), arunci auomatul este determinist,alifel este nedeterminist. Pentru acl determinist func de trancije ale automatului se rescriu: F:XxQ4Q o:XxQay ‘penort varianta de automat Mealy si g:Qsy¥ pentru varianta de automat Moore, © 54 3 TEORIA AUTOMATELOR 31 Definiti $i proprietati ss procedure CHEIE_ELECTORNICA Reset cep loop | until D //semnal de deschidere a usii Tasatura coin es casq L //comanda de deschidere a usii Fals oop Automat fit + aiepave Deschide ‘neil. dispare D repent oop | | anti D Tiber" Alama roptat . ite ‘hen L Fig 32. Sistem aucomat de "eels electronic”, formal dnt-o ste iva automat it tise loop A //declansaren alarmed cate intespretarhcomenzl wari genet sera de deblocarea usa slam. sl Riffsoeet Deliniia 33 Dact mujiea Q este init, atuncl automat este fit © “ee In aceastt cate vom fost numsi auiomate deteminite gi in majorttea i nscene cazurilor finite. +, ~ Ge Gee mecraoizea - sae ‘Fig. 3.3 Procedura ce descrie funcjionarea automatului CHEIE ELECTRONICA. - Exemplul 32 oot + eon sie ne uta “ie” apacii eral sadn a Si preopuncm exemple na sie elecuonc (Figura 2.2) de desde @ unl o Sessa at in ft Dente aero format dino tsaturkce mite ehie un euoma fat ummtcrle sem «strc nce coms a apatiia semabll Dn ct sea ac fs att Sect coca (C= TP), dct da, aunt Gk comandaL ibe) care dblocheas 8, '* C- semnal ce indied dac& a fost tastat codul corect (cel programat pentru usa In ‘daci nu verifick dack a fost tastat un cod incorect (F = 1?), dae mu, se intoarce in couse) ‘starea go ("cineva se distreazi la usa, incearc $8 intre Mira a forma un cod!"), dar, + F-semnal end daca fos tas un cod ino (in eatege su cu ones dnc fos tesa un cod incret esto gaelca aa sls aun vt) franduloest) Stunt ne deblohen pdr se aloo ancl di aj si (pore + D- sernalul de validare eare comandl luarea ta considerate a codului tastat in vederea deblocti us. ‘este un dm corect, dar neatent) se tece In ge + a2 - Se asteapt ("cu side") ca neatentl, su rSuvoitor, si ridice degetl de pe comands D pentru a forma un now cod qq 30 agteaptt o now comandl (ange, au mai suntem fh starea iia in eare ‘geptam, fe nico suspiciune, 0 comands de acces; suntem Intro stare de alert: ded gi acum va “presi, vorn declanga alarm!) + qq sfionarea comenaié D ne determing si westim (cu tnfigurare)corectitudines Codult, dack este eoreet (C= 1) atunei Gertitori) comendém liber tecere si ‘utomatel va comuta fn starea gy (uitind de neatenyia celui de Ta intare), dack au ecem in taea urmBtowe, qs, In care vor declangaalera 4 gy = stivea de alert in care se declangeazd semnalol de alarm; in aceas sare imdnem pnd cSnd este scjionat semnalol de resetare a sistemului (de etre reprezenatnal serviciului de paz). ‘Avtomatul poaid genera dout semnsle: : ‘+ L- semnafut ce permite tecerea liber prin deblocare uf A semnalul ce declangeazX alarms la cea de a dou Incercare neresit si nu mai ja I considerae semnalele dele tasttur. ‘Alarma va putes deblocatd nama prin ayionareasemnalului R, de esetareasistemului Funcjionarea automatul init este descrish prin procedura din Figura 3.3. Procedure (CHEIE ELECTRONICA desere funcpile de anzije f si g ale automatului care are muljimea X codificattca4 ti, {C.F D, R), iar mulimea ¥ codineatseu 2 biti, (E, A}. CCare este mule sttilor automatului? Inspectind procedura CHEIE ELECTRONICA Se pot pune Mn evidenéurmitoarele sti inte ale avtomatul [Muljimea siritor ae 6 elemente, Q = Laos... t6)- Ble vor eodifcate cx minimum ' } 3_TEORIA AUTOMATELOR 3 bi. Cum vom coe ste? Nu pune nck aca stag Je nck a acest tbe, dat Baten cho vem paca face independent de dfniia atoms, deorece ace, ccc cai te, vn vinbl ilira somait. Resa erate peer 0 vom tfc err opinina rca rer amet Sesto ‘Am fost foarte exigenti cu utilizatori sitemului de acces descris tn exemplul | anwsion Nu le pete, fecedaihcd vor in ero angel eon a =" tolera mai multe incerciri gregite ar trebui sX mirim corespunz&tor numirul | oe san or aorta Observim c& spatiul stirilor este folosit si pentru a memora q crime eons, Avonat “joe mi", ig sce fo cae le secvenjeze gi uncle evenimente semnificative, conjinute fn secvenjele de comenizi car ecepnsnd. Nuva fine inte fal cvs produ un ses coer dat Se ‘memora, “cu invergunare”, orice tentativa de acces incorecti, : Definifia 34 Daca pentru orice q € Q si jn De , ice € Q si x5 € X, fzj.41) = ge cu ge € Q, arunch Jo aed definits Ms QoUQr = Q $1 QoQ = ¢s este mune srilor inate. « Definitin 35 Dact, {Qo| = 1, atwnci automatul ese sirict in ‘Stile inigiale nu provin dintr-o evolugie a automiatului. in aceste stiti au- ‘tomatul ajunge prin comenzi i i oman jae de inifislizare exterioare, ce nu se activeazt, de regult, ‘Putem vorbi de str’ inaccesibile numai tn cazu} automatelorinigale. | { { | | Debinita 3.7 Dowt stiri qs. y ‘pornind # qj sunt eckivaleme dai, evolgia automata, din aceste stiri, genereazit la iesire siruri de. confteop bay omer on Definitin 3.8 Un semiautomat este defini prin tripletl: SA=(X,Q,f) vide: © X-- este muliea fini a configuragilor binare ie intrare + Q- este musimea confiqurayilorbinare de store © F -fimetia de taicitie a sttrit interne a automatulu, de forma: FX xQ~4P(Q) ‘Pentru semsiauiomaiele nedeterministe side forma: $:XKQ4Q | Pentru semiautomatele determinisie, © +5.1_Definig si propieti 37 Concept de semiautomat pune fn evidence pare aunt automat respons ait pentco evolu sti interne. Avtonomia sistemelor automate este dat de serian- wee azoeate, De asemenea, optimizes stu} un axomat se va reduce, Tn cen cera pare, i opimizareasemiautomatulu asciat. Dupt cum se observa ect, ina avonmatul rez pin tnllturavea strctorit care genereaai semnaele de ise ‘Evolufia unui automat poate fi de dows feluris 1s asincrond - ature! cind fief de tranztie, si g, sunt recalculate mumat fn fonctie de modificarea valoilorvariabilelor de care depind 's sincrona - atunci cénd funesille de wanzitie, J sig, sunt recalculate la momente Ge timp determinate de un serenal suplimentor numit ceasul sistemulai Frontul activ al ceasului este cel care di comanda actualizitii valoriilor funcjilr de tcancje ale automatuli folosind valrile gin cel moment ale variabilelor {de inare i stare, Strcrurafitic8asociattautomatuui va treba estfel conceputdincat pind la urmitoral front ect caleulfungflor f gig sie cheiat. Ne vom oeupa Tn Continuare numa de antomate sincrone. “Atunei end vorn nota o variabili de intrare, stare sau fesire prin a(t) vom ingelege valoarea pe care aceasia 0 ia la momentul de timp discret f Pentru un semiautomat ave urmitoarea relate temporal a(t) = sett 0.9(¢-) tn sensul e¥ starea curenti Gepinde fntetdeauna de valoarea strié gi a intriii din cielo) ide oeas anterior. In consecin¥, comutarea stiri are Toe numai ca.o consecinfé a frontulu ety al ceasului, Orice modificare a inter dupl tranziia activi 2 ceasului nu va mai lust fn considera dec la urmtorul front activ. Definifia 3.9. Un automat este imediat dact: gfx(t}.a() = wit) unde: € X49 €Q. siy €¥, pentr un automat de tip Mealy si alate) = v0) pentru un automat de tip Moore. © Un automat va fide tip imediat dact fepirea depinde de starea curentd. Modif cecea inti dupa tranzitia activi a ceasului poate modifica valoarea de pe iesie, pentru of starea curenth este prezent Definitia 3.10 Un automat este wu hutarziere dace: gl x(e),q(e)) = y(t +1) sna 58 3. TEORIA AUTOMATELOR entra un auiomat de tip Mealy si 9(a(@)) = v(t 41) pentru un automat de tip Moore. © uj na mai poste afecta valoaréa iesirii deoarece starea anterioarS a automatulyi nit mai este prezent. : ‘Teorema 3.1 Relajia temporal inte iesrile intrdvile unui automat va fi, fx consecings de sre feluri: ' egirea automaralui Mealy imediat urmdiregte direct variagiaintrtrit iegiile automatelor Mealy cu intdrciere si Moore imediat reacfioneazi la variajia, inardrii cu 0 Inreiere de tn cictu de ceas © Fesirea, auromatului Moore cu indrciere reacjioneazit la variasia intrdrii cu 0 ‘nudraiere de dowd cicluri de ceas. © Demonstratie’ Pornind dela defnyjie anterioare, demonstaja est media 9(4(2),a()) pentru automatul Mealy imediat, deci ieizea poate urmist intrvi fn prezenta stirs curente + v(t) = glz(e ~ 1),9(¢ — 1)) pentru automata Mealy eu itiaiere si y(t) sfa{t)) = aff (z(t ~ 1), (¢ ~1))) pentru atematal Moore imediat ult) = glalt - 1)) = off(a(t ~ 2),al¢ — 2))) pentru automaiut Moore cu intirziere. 0 ; ‘Teorema 3.2 Orice automat Mealy cu fntdrziere poate fi transformat tntr-un automat ‘Moore imediat $i invers, orice automat Moore imediat poate ft transforma iatr-un au- tomat Mealy cu fudraiere. © Demonstratie Fie un automat Mealy si q; una din stitile sale, Tranzigile asocite acestei stiri sunt urmatoarele: 490) = 95, 9(44,0) = Yo F(Gie 1) = as 910601) = Ye ‘Se poate defini un automat Moore echivalent in care, dint-o stare oarecare, qj, se poate ‘comita condigionat in alte dous, dup cum urmeaz&: H(gis0) = plas) = wo 442 _Reprezenareaautomatelor ” F(Giy1) = Ges 94) = Ym: acl in starea notatl cu g; in ambele defini intrarea testatd ia valoarea 0, sau 1, in cjelul de ceas urmitoriesirea va genera y sau, respect, ta fn cazul ambelor automate. *—pticaie curente cer, de la caz Ta caz,reacit ale iesirilorautomatelor cu nudes diferte fafh de intrre. Din acest motiv toate cele tei categorii in care se nupeazi cele patra tipuri de automate se vor dovedi utile. 3.2 REPREZENTAREA AUTOMATELOR Reprezentarea unci enttiji este foarte important pentru modul tn care acea centtate va fi folost8, Automatele nu fac excepfie. Find structuri ce intr, de regult, in categoria celor complexe, reprezentarea lor devine foarte importanté pentru cazurile fn care complexitatea atinge valoriridicate, Compleitatea, cn alte cuvinte, dificl- tatea de a evidenfia patern-uti in definitie, poate fi mai ugor stipaniti daca dispunem e reprezentiri adecvate celor mai diverse aplicatii, Din acest motiv vom descrie mai rolte moduri dea reprezenta automatele, Aceste moduti vor putea fi uilizate Tn functie 4e pul concret al automatului gi de modu in care dorim s- realidim concret. 3.2.1 Psendocod ‘Vom folosi pentru exemplifictile in acest subcapitl sutomatul care comand ‘xscuja algoritmului CAT ( Figura 24). Reluim procedura in Figura 3.4a serind pe un rind comenzile ce pot fi date ftr-un singurciclu de ceas structs care real- izesah operaia de impligice. In Figura 3db este eluath acceagi procedurS, cu nu- rele de AUT.CAT, en nota simplifiat, ce vor permite 0 manipulare mai usoar8 a reprezeoirilorautomatuui asociat. Pentru ef avem in vedere realizarea unui circuit oncret, ebuie sk prevedem sun semnal de declangere a operaiei. Acesta este sem- talul START, notat in continuae prescurtat cu S,"asteptat” in buca loop cu care incepe deserierea, vialune de tip automat Mealy, automatul desris tn Figura 3.4b are emitoarle st +o stare inal in care ajteaptt semnalel S reprezentatt prin bucla loop; fn aceastt stare nu este generat nici o comand8,ceea ce este echivalent eu generarea comen- i NOP + urmeack sara in care se efectuazk comanda Cl (ntializsrea register) 4 cea de aia stare, eprezentatd de primul while, se afectueaz¥ comanda C2 atat timp cit TH este ineplinits alnierea operanzilo) + lima sare, tn care se formeaz cleus restul, prin executarea condiionats de TI a comenailor C3 sau (C3, C4) ata timp cét T2 este indeplinit,iesindu-se din buclt cu setarea restlui (CS), w 3_TEORIA AUTOMATELOR Procedure CAT c= 0, B= 1 //comanda C1 while I< D //testur Tt do 221, B= 2B //comanda C2 repeat while B > 0 //testul T2 do iff stareainiialé ‘» starea de initializare a registrelor ‘+ starea in care se efectueazi alinierea operanzilor + starea in care se ajunge sin funcfie de valoarea lui T! pe bucla while, parcursi cconditionat de T2, care congine si starea urmatoare + starea in care se comands shift-irile a dreapra a registelor B gi (C4) att timp edt cconditia T2 este indeplinits «stares final, in care se incared R cu valoarea D, (C5). Cea de a trea stare evidentiatt fn varianta Mealy s-a metamorfozat in rei stiri pentra varianta Moore. Numérul de stiri a crescut, dar functia de tranztie a iesirii nu depinde decat de variabilele de stare. Mai multe implicagii vor putea fi puse tn evident ‘dati cu deserierea tchncilor de proiectare a automatelor ca circuite digitale 3.2.2 Organigrame Descrierea in pseudocod este o descriere primar, folositi de cbicei pentra cenungarea problemelor de rezolvat, Pentru a proiecta structuri concrete sumt necesare reprezentiri mai apropiate de definiyia formal a automatelor si de structura lor fizicd. Reprezentarea prin organigrame va pune in evidenj& ma explicit muljimile X,Y, Q si ‘uncyille J, g. Va permite, de asemenea, dimensionarea structurilor fzice folosite pentru construcjia unui automat, ‘Unal doilea mativ este logat de complexitatea descrieri. Prin restriciie sintac- tice ale limbajelor de tip pseudacod se impun limitiri, uneori grew de acceptat. Pentru a ‘se putea realiza descrieri de mari dimensivni, limbajele de tip pseudocod impun restrict Sintactice eare nu se justified fn cazul unor automate de mici dimensiuni ce trebuiese op- timizate dopa un numirrelativ mare de criterii (dimensiune, vitezi de lwer, aie ocupatt pe silict, ...). Uneori,o descriere prin organigrame "nestructurate” ofer avantaje im- Portante pentru realizazea fica si vitezA de lucra a sistemului rezultat, Dimensiunea rezonahit de mie a unor antomate nu cere prudenta unei descrieri "sructurate™, "Struc- ‘urile” de tip loop, while if-then-else, case gi altele asemenea au cate 0 "intrare” si cite a 3 C1 4 ‘TEORIA AUTOMAIELOK, &. | QO a cH C3,Ct Fig. 35 Repcezeotea prin oxganigramf a automatulsi AUT.CAT tn varana de ip Mealy In sarea info, qo, sotomatlresteack semnall ée start, S, penta putea Tcepe oles slgoritmulu, iar dp emninazea opera sutomatul revive Sn aeeai sre. “iste” bine definite Dar, echivalenul al fte unui goto, bine pasa, e poate srecura ‘nto orgenigram, pntra&realiza ev uguringao eine” neorodoxa dint-o bucl, rt riscal de asclpa de sub contol funeionaresautomatuuiasteldesris. Pentru cele douktipuri de automate, Mealy gi Moor, folsim reprezentii dis- inte, ty Figura 3.5 este reprezentat un automat de tip Mealy, iar n Figura 3.6 a fost reprezentat un automat de tip Moore. Peni Sneeput mu conteazd despre ce automate este vorba, Yom analiza numai simbolurilemilizate. Pent un automot Mealy folosim tte simboluri: ‘© cereul, pentru a simboliza strile autometului; fn interior este notat numeie sti, ir Jeng cere este notat& codificarea binar® a stiii Figura 3.9) * vombul, pentru a simboloza testarea bitul de"inuare (uneosi se testeazl chiar configuratia binard in intregime); cele dou “ies” indicd iesirea gi starea urmBtoare ‘© dreprunghiul, penira a indica comanda generat. 6 le é | 3 | |e “in varianta de tip Moo. ig. 3.6 Reprezentarea prin organigramd a automatlui AUT.CAL Astfel prin cereuri este specifieat! multimea starilor, Q, prin romburi sunt specificati Dit cu care este codificati muljimea valorilir de intrare, X, iar n dreptunghiuri gisim elementele mullimii valerilor de iegie, Y. Exemphul 3.2 ‘te opim supa stig din onganiraroa esr Figura 3S. Ce face automat in aeennd sare? Doct vest Intel condi 2, lin geereoHcomanca Cie tert! ont ot eal va coma fn eno All, dock TZ ete Indepth depune va f deus esr condies TL. DackT ct Tndplni, ste uta nrc pei conan C3 cout, serosa de frotlati a esa fn sea | fb Alf atonal ented comenaleC3 gi C4, comatid ttn. Pentru automatul de tip Moore folosim numai doua simbolui interior vom thserie valoarea pe care © « dreptumghiul, asociat cite voei sti a 3_TEORIA AUTOMATELOR ‘genereazdiegirea In staea respectiv’; Hing dreptunghi este notat numele gi codl binar a sti « rombul, cu aceeasi uilizare ca in eazul autématului Mealy. [Nu mai este necesari folosirea cercurilor, debarece fiectrei st asociatl, jefrea-i este univoc Exempla! 33 Fiestarea gy a organigramei din Figura 3.6. fn aceast stare automatl generesz8peigire comands C4, Starea fn care va comuta sineronzat de frontul activ al ceasulu ete dak de testarea bijlor TI si T2. Dact, condiia T2 ma este Indeplinits,atunct automat va comutaf saree gy. Altfl, va testa condijia TI, Dacd, aceasta este ndeplinité comutd tn altel, comut fa gy ‘Comportanentulautomatuli fn fecare stare este mai simplu in cazul automate lui Moore fof de cazulautomatului Mealy, deoarecetestareabijilr de itare nu deter- mini decd modol fn eare comutlstarea, Numarl mai mare de stiri compenseazi acest fect. Nu putem decide, in aveast fa de tratare a automstelor,ftre 0 sok ‘Mealy si. una de ip Moore. Anim o discusie mai naan pentru Capitol! 9. Thame de a tece mai departe trebuie sf stragem atengia eX organigramele din Figusile 35 si 3.6 sunt asociate proceduri AUT.CAT din Figura 3.4b, Pent aceeayi reprezentare psendocod, care nu poate distnge fare o form’ de realizare Mealy sau Moore, 5-24 realizat douk reprezentiri prin organigrami, corespunzitoare celor dou tipuri de automate ‘Teorema 3.3 Oricared reprezenttri prin pseudocod a unui automat tl corespunde 0 repreznare prin organigrama. © Demonstrate Oricirei structuriflocitfnlimbajol pseudocod ithen-ls, loop, while, case, ...) fi corespunde o modalitate de reprezentare structurati a unei or- gonigrame. In Figura 3.7 sunt date exemplele cele mai semnticative, sub forma unor Structuri ee in tm componente desrieri pein organigrame a ror automate de tip Mealy. Asifl, n Figura 3.7 este redat moduli cae o strcturksimbolie8 de tipi then-else este reprezenatt ino organigram n trea g;automatul Mealy genereat ieyien A sau B in fncfie de indeplinrea sau neindeplinrea condi tetas, In Figora 37 bucl loop este executat in starea gyn care atomatu imine at imp cit condiia nu este indeplinit, Ceelalte structurin pseudocod sunt la fl de evident asociate nor struct de organigrame, Descriereasimibolic in pseudocodfoloseste structuri cu o singurk"intar” io singurd “ieie” penru a permite o struturare simpls a descrierilor. Accasté caraceris- til o aus toate strvcturile de orpnigramt flose. ae tip | 432_Reprezentareasutomateior $0 — [a 3 —— ’ © seg t a nd ft [ue rs 6 6 3_TEORIA AUTOMATELOK Pentru a atta cd este posiblt i reprezemtarea sub forma unui automat de tin “Moore, in Figura 3.8 au fost redate stucturile corespunzstoare celor din Figura 3.7 reprezintifoloste pentru automate Moore; Dreptunghiurile desenate cu lini ntrerupe reprezioti stiri ancerioare tn care se realizeazd testi ce selecteazA starea in care sum. ‘generate comenzile corespunz3toare comenzilorautomatuli Mealy echvalent. 0 Reciproca teoremeiantrioar nu este adeviratl. Exist organigrame ce nu pot comertte in reprezentristracturate de tip pseudocod. Restrita structuranté ca fica “Yormult” pseudocod si dispunt de o "intrare” i o"iegire™ unice este inctlata curent. In definirea unor automate poring direct de la organigrame. Exemplul 3.4 1 automat Mealy a etal define fn stares 9, In care ese testo inca de un bi, este de forme urmatoare: i Hamu) = 9 Flas 4a) = a4 9am) = ve 965.4) = Ym (oral este invitat sii deseneze organigrama a ormult in pseudocod, Prima cenit est wor de Indepliit. Cea dea doua, nu, Motivl structure are dovt "isi Deoarece mulfimile care definesc un automat au o reprezentare binarl a stra turile fizice concrete va trebui si oferim si forma binardi a organigramelor pe care in-o prim: imbolic’, dar ne-am obignuit (prost) 58 i celelalte reprezentiri simbolice). Pentru a construi forma binard a organigramelor tebuie realizate urmatoarele codifieti: q ingem prea net reprezentirile numerice de ociereavinor valor binae elenientelor muljimii {nu da} cu care evaluim vari- abilelebinare de intrare; fe corespondena nit = 0, da = 1 (prin convene) + inlocuirea iesiilr prin codur binare cu un nue de bit io configurare a aces tora ce corespunde utili pe care ova avea aucoratul + codificara stsilor automatuli int-o variant care sh servease® e8t mai eficient implementare a crcuitulu fic ce va fi asociat automatul ne 0 Primele doud codificiri, ale mulyimilor X si ¥, sunt impuse de cel ce defineste automatul. Codificarea stirilor, 2 elementelor muljimil Q, nu o mai impune eel ce defineste automatul, ci cel ce-1 proiecteaz’. Codul stieilor nu este accesibil in exte- rior, la bomele automatului, fapt care permite proiectantului si sleag’ liber modul de | itthen Gea Fig. 3.8 Exemple de converse a unei a unui automat de tip Mealy in automat de tip Moore. ‘000 10 Fig. 39 Variana bina a reprezentii prin orgenigrama a auiomatului AUT.CAT in varianta ep Mealy. codificare. Aceasti libertate este folositi de proiectant pentru a alege acea codificare care-i face lui viaja mai usoar8. Felul in care alegem inre diferte moduri, echivalente pentru comportarea la borne a automatului, va fi aprofundat atunei cfnd vom prezenta, {hnicile de proiecrare @ automatelor. Pind atunci si refinem c& semiautomatal asocist ‘unui automat poate avea mai multe forme, echivalente in raport cu comportamentul Ia borne al acestuia, In organigrama simbolick au fost specificate numai comenzile “active”, cele ‘care comand 0 actiune. Spre exemplu, in starea ap @ organigramei din Figura 3.5 pentru ‘5 = nu nu este specificatl nici o acgiune, Intr-o organigram& binara acest lueru nu este posibl. Trebuie si existe gun cod pentru no operation (NOP), ‘Vom cocifica minimal si arbitrar (deoarece nu este specificatd stractura sistemue ‘nj comandat de automatut CAT) muljimea comenzilor ¥ = (C1,€2,03,64,05, NOP} ig-340_ Varian bina reesei pri oganigraml a automtlui AUT.CAT mn varanta etip Moore. in Y¥ = {001,010,021, 100, 01, 000}. Regus organigramele prezentate in Figura 39, pentru variant Mealy in Figura 3.10 ‘penta Varianta Moore Deserierea obyinutt print-o orga foncjioniviiautomatuli ami binark dX o imagine clark asupra Exemplul 3.5 Fie AUT.CAT in varianta Moore (Figura 3.10). Pentru coniguraia de inware: $= 1, Ti = 0, 72 = 0, iesirea are urmitoarea evolu cilicd, sinroni cu frontul activ al semnafulu de ceas, ac automstul porneyte din stare iii (00,001, 101,000, n 3_ TEORIA AUTOMATELOR. ari ), 72-= 1, atunei, pornin tot din g reul pe isireseevente: 100,002, 100, 100,100, ‘8 se blocheaz8 ciclind in stare 111 cu iesrea 100, pnd cfind 71 sau T? fi modifiet valosrea, oo SSSSSeSeeFeFSFeFeSeSSSSSFSFSFSsee Organigramele dau o imagine global’ asupra funcyiomirit unui automat. Pen- 5 tau a ne adapta [a procedee algoritmice de proiectare webuie 8% oferim gi reprezentiri ‘mai explicit secvenfiale. Organigrama spune ceva proiectanculut uman, capabil de a per- ‘cepe reprezentarea grafic a organigramei. Atunci cAnd trebuie s& sprijinim demersul de proiectare prin instrumente ce funcjionesz& algeritmic, este necesari o reprezentare | adecvat In sectiunea urmatoare vor oferi aceastl reprezentare. 3.2.3 Tabele de adeviir Pentru 2 evidentia mai clarfuncfiile de transfer ale unui automat din perspec- tivl algoritmulai ce ne conduce cltre circuitele ce efectueazd aceste functii, vom folosi tabelele de adevar. Acestea sunt mai pujin sugestive pentru proiectantul uman, dar pot ‘imal usor “manipulate” algoritmic, 4 ‘Un tabel de adevar contin in coloanele din stinga toate elementele produsului Q x X iar in coloanele din stanga elemente ale produsului Q x Y. Fiecdrui clement din Q x X fi va corespunde un element din Q x ¥. Sunt definite asifel, cele {0,1} 1 100 ° ° ° 10 1! 4 a1 fees) 1 cam} oo pt o:(0 + (0.1)" Fig. 3412 Tabelal de adevr eu vrai inclse al astomatuut AUT.CAT tn varianta det Mocre. unde p, q si” Sunt numnfirul de bifi cu care sunt codificate elementele mulfimilor Q, X ss respect. Y febcccrioree conportanentala & autonatulus AUF_OAT in variantal Yoore.*/ Bete A07-CATCcom, clock, start, test, t0682); (12) saw 71") (72 si 71) (72! sau 72) o Tm o ‘Tabelul de adevar cu varia kesth, test; Input clock, start le inclse al eutomatlui AUT.CAT fn varana de fag loch te Mealy. ‘Propunem un tabel in care coloanele din stinga contin numaielementele din Q. In reap reg(2:0] state, com elementele din Q x Y ow vor mai fi reprezentate numai prin O i 1 cist prin fun Sependente de bi care codtics muljimea X 4 Jn Figura 3.12 prima line este dedicatt stiri qo, codificate prin 000. Codul s turmatoare depinde de variabila S. Dack S = 0, atanci starea urmitoare este 000, a Wel, dacd S = 1, esie 100. Sinttie potem scrie eX starea SOO succede stiri 000, Configurajie binar§ O01 nu este folosité pentru a codifca nici una dine stile automat:\ lui, Pe linia corespuncitoare, i coloana din stinga valorle nu sunt specificate, ele sunt indferente, fapt notat prin" (don't care). Din starea codifcat8 ew 010 automatul va ‘comuta necondiionat fn starea 111, Similar se pete lucruil comtinuare. Sine opr supra ultime’ lini. Sunea 111 are tei suocesori in funcje de valorile intro T1 $572: Bitul Q1-+ = 1 in toate cele tei eazuri. Bitl 2+ este 1 cénd T2 = Osau TI = 0. Vort ‘ota complementul unc variable binare A prin A’: Completim pentru valoarea utmtoae 202+ cu T2'sou TY: in sare 111, Q2+ este 1 dacd"inversl lui T2” sau “iaversl bl ‘TI” ested. Pentru bital Q0+ observim cH este 1 dact T! g§72 au valoarea 1 impresnd Deoarece automat este de ip Moore, feel stiri este asocat univoc o valoare pent ‘ire. Coloanele petra Y2, Y1 si ¥0 se vor completa direct din organigramia, [n loc ‘unui tabel eu 64 defini am revs sf folosim unul mult mal mic, eu numa § ini, Kg sTt::lleREC:”° rr Pentru automatul AUT.CAT in varianta Mealy se poate compacta tabelul din Figura 3.11 sub forma tabelului din Figura 3.13. Diferenga principials fai de tabelut- similar asociat variantei Moore (Figura 3.12) const in faptul c& i ieszile depind de variabilele de intrare, drept consecina a modului in care este defniti funciarg 3 jnitie state = 372000; ‘luays \" aposedge clock) case (state) 3/2000: begin state = {etart, 1'%0, 170}; coa = 3°00; end 370010; begin state = S”bL115 com = S*2021; end 37100: begin state = {i'b1, “testi, test? | testi}; com = 375001; end S°DIOL: begin state = {1"1, “testt, "pI: com = $'D0405 ond 2°b1L0: begin state = 378000; com = $7Di01; end SILL: begin state = (test? | “testi, 1/bL, test? & “testi}; con = 3/2100; end VeriBox 3. ” 3_TEORIA AUTOMATEL: Trebuie si remarcim faptul c& si funcfile de tranziie ale automatelor sy funcfii definite in mullimi de Tntregireprezentat binar cu velori tn mulfimi de acelasi ti Reprezentarea mitimilor cu care opereaz& automatelefiind de acclasi tip cu cea Fotos eniru nummere, ne asteptim ca sistemele digitale si poatt folosi ci atat pentru a realiza funciii numerice eft si pentru realizarea funcfilor seevenfale dy ccomanda si control. Aritmetica gi teoria automatelor tebui algebrei logice pe care o vom expune fn capitol urmitor. 3.3 MINIMIZAREA AUTOMATELOR FINITE, Minimizarea unui automat finit presupune, teoretic, definirea unui automa’ echivalent, eu un nurmir mai mic de ste. Din punet de vedere practic minimizarea mai presupune gi alte procese care conduc Ia micsorarea numirului de cicvite cu cae es realizat. Pentru aceasts taps, strict formalé, ne vom mulfumi cu minimizares multi strilor. ‘Minimizarea mulfimiistirilor se realizeazl prin dous procedee: ‘ evidengierea claselor de echivalengi in mulfimea stitilor gi Tnlocuirea fe submoljimi de stiri echivalente (Definiia 3.7) cu unul din elementele sale « fnlitorarea stator inaccesibile (Definifia 3.6), cazul automatelorinisale Bxemplul 3.8 Fie auromatul de tip Moore, inital Ingo, prezentat io Figura 3.14a, Pentru at mince! cobservim ef: ‘+ stile on 5 gs foumeazd o clas de echivalens, deoarece: genereaz4 semnale idex- tice pe iepce, 0, gi au dreptsuecesoraceesi stare, ge ‘ + starca ge este naccesbill print-o evolue ce porneste din starea initial. Din clasa de echivalengi {g2,¢s) vom refine un element, pe qa. Starea inaccesiblé va 4 fi climinati din definije, Rezult, atfel, automatul descris de organigrama din Figort 3.14 Rezuitéo reducere substanjald a defini, pentru cl stirile 9 vor putea codifcee ‘ex nuimai 2 bi i le de 3 (abelul de adevic se reduce Ia jumttat), Minimizarea se aplicd, de reguld automatelor cu un numar mare de stiri, Auto ‘matele eu un numar redus de stiri sunt ugor de definit de Ia inceput intro form mini- ‘mali, Necesitatea aplicirii minimizSrii mai apare gi atunci cfind se face o transformare dintr-o defnifie Moore intr-una Mealy, deoarece, cu aceastt ocazie se introduc uneori stiri echivalente, “33 Minimizares automate nite 1s es iste SEAT sale Teapok, set, test, test2; Wheat eioo step qeyeial brass eck = 8: Sores besa 0 lect = 4 fo clock = oF . oot esa soivian begin stare = 03 Geel = 0; fenea 440 start = 460 testt = 420 start = 0; 460 test! = 0; sest2 = 15 460 test? = 0; end AUT_CAT AUTLCATL(con, clock, start, testi, test2); saitial Snonitor ("Tine-HOd startaif testiefb testzaXd seaver consid", ‘Stine, start, teatt, test2, AUT_CATI.state, sUT_CATI.con); ondnoatle ‘Times000 stare=0 testisO test2+0 state=000 comexcx Tine-010 start-0 testi=0 vest2=0 stater000 Time-O40 starte1 testi=0 test2=0 state=000 Tune-050 startet testiz0 test2=0 stateri00 Time-O7O starte1 testie0 test2-0 staterii0 Times090 start=1 teatix0 test2=0 state=000 Tunee200 stare-1 testi“l test2-0 state=000 con=101 Tine=ti0 starve tostinl test2=0 state=100 eon-000 Tameri20 start~0 terti=1 test2=0 state=100 con-000 ‘Nmest90 stazt-0 testie1 test2=0 stateri01 con-00L Timeni50 starts testiai text20 stater101 conrO20 Tipe-t90 start~0 testi=0 test2=1 etateri01 con-Ot0 ‘Hne=t90 starte0 testi«0 testZe1 statertii com-030 Finan230 starteO testia0 test2=1 state=tl1 comei00 Tinew240 start-0 teati=0 testZ=0 staterti1 conei00 Tinae250 start=0 testie0 testZ=0 states110 com=i00 TinesZTO starte0 teati=0 test2=0 state=000 comei01 Tine=290 starte0 testi=0 test2=0 state=000 comr000 Stop at simulation tise 400 } VeriBox 3.1 continuare| pe % 3. THORIA AUTOMATELOR. 0 [6 | Fig, 3.14 Exemplu de minimizare. a, Organigramainijialé a aniomstulai, b Orgenigrama . b automtlui minimiat. % Reset o ‘34. Conversia Mealy-Moore si Moore-Mealy n 3.4 CONVERSIA MEALY-MOORE $I MOORE-MEALY Deoarece un automat Mealy eu intrziere poate fi echivalent la borne cu un automat Moore imediat, merit st discutim si problema translajei unei deserieri Mealy fnt-o descriere Moore si invers. Ambele tipuri de automate, Mealy cu intarziere $i ‘Moore imediat, ispund la variayile intrtii cu o int frziere de un tact. Vor existaeplicayit ‘concrete care vor impune, pornind de la criteri de sealizabilitatefzicd ce vor fi discutate Sn apitolele urmatoare, proiectarea unui automat intr-o forma sau alta, 3.4.1 Transformarea Mealy-Moore Conform Teotemei 3.2, 0 reprezentare de automat Mealy se poate transforma inteuna de automat Moore (si teciproc). lar, conform Teoremei 3.1, vor putea trans- {oxma un automat Mealy cu Tntérziere int-unal Moore imediat (si reciproc), deoarece ambele rispund cu o intéziete de un ciclu de ceas la variafia variabilelor de intrare. Deci conversia este formal posibill i efeetiv poate fi milizaté pentru a defini avtomate echivalente din punctul de vedere al comportamentului formal ta borne. Din punctul de vedere al comportamentului fizic vor exist unele diferente. Ele vor fi evidentiate Imodiat ce cunostingele refertoare la realizarea concreti a structurii automatelor, 0 vor permite, Transformarea Mealy-Moore presvpune un algorita fn douk ctape: ‘* Snfiturarea simboturilorcirculare si definirea ca stiri a dreptonghiurilor ce anterior defineau numa iesirile ‘= muliplicerea romburilor astfel Tneat flecare stare si fle reprezentati cu variabila estat Exemphul 39 Fie automatul Mealy cu intrzierereprezentat prin organigrama din Figura 3.152, Pen ‘tu al transforma ininun automat Mare imediataplicim prima etapa a transformiri in Figura 3.15b, unde au fos Areptunghiurite au fost definite ea stvile gp, qu, go $i gp. Penne a fi evident 8 tn sirile ‘4,92 $i dy Se esieazh vavibila A, ea eeu la reprezentrea din Figura 3.15c, in care Slr 9, ga sig Tea fost distinct ssociat rombuleare specifi varabila binar8 estat Se observa cX varianta Moore are un numir mai mare de stiri dec&t varianta Mealy echivalent3. Dar la fel de adevirat este c& functia de tranziie a iegiri este mai simpli. c Fig. 345 Exempla de ransformare Mealy-Moore. . Qrganigrama iia @ automatui. Prima etapa de transformare: suprimaressimboluriloreirevare. e, Forma finals tealzatS prin ‘multiplicareatesteor, “Fig. 316 Exempla de transformare Moore-Mealy. a, Transfornarea direct8, b Minimizares eansormisi diteze | } i 80 3. TEORIA AUTOMATELOR 3.4.2 Transformarea Moore-Mealy Posibiltatea formal i utilitatea practick a transformarii Moore-Mealy se jus- tific tn baza acelorasi teoreme ca gi in cazuhtransformérit inverse. ‘Transformarea Moore-Mealy se realizeazi tot m doul etape: 1 dup’ fiecare dreptunghi, Ge reprezint’ o stare se insereazii un cere ce va prelua specificarea stirilor automatului, dreptunghiurle #lm@ndnd responsabile numa cu definirea comportamentului pe iesire + dacd este can, se minimizeazd reprezentarea obfinut8 fn prima etapa Exemplul 3.10 [Ne propunem st ensformim rezuatlransfonmé ncerioate,avtomatsl Moore, fapol sob forma automaraoi Mealy ins in Figura 3.16a este eprzentatefectleplicdi rimelctpe de tansformareasvpraautomatolul eprezentat fn Figura 3.3Se. Rezo un futomat de ip Mealy eu ats Minimizareaavtomataluirezaltat se face prnind dela obsorvaia ck instil gy. a automat esczn aceeas varisil,genereazl pe igi aceeag vals in fnee dere ol testi com fn seseap si, Dei, submallimea spall stir (92-48) Feprennito cls de echivalengt ceva substiuittin Q cu clement g. Reaulé oreani- rama din Figura 3.16b ce oe dovedeste af idenic cu cea din Figura 8.152 {in aceast capi, pur tereticd, de cuncastere a auiomatelor nu putem introduce citer ferme de deparajare, ine o soluse de ip Mealy sau una de tip Moore, pentea © problema dati, Ingineria acestr structuripresupune resticii gi iberti care vor ft folosite pentru a decide fn favoarea unei soli sau a alten. Ceea ce este important, din punctul de vedere al teorei automatelor, este posibilitatea de atrece prin procede= simple de I oreprezentre la alta, Liberttea ofeit de aceasth posbilitatene va oferi 0 fiexbiitate sport la nvelalingineie sistemelor digitale 3. 3 Complexitatea relativa a reprezentirilor Este will estimarea complexitifi celor dowk reprezentir, tip Mealy i tip Moore, ale automatelor. Acestereprezentiri se refers Ia acelasi comportament la borne, dar la structuri fizice distincte. in capitolul 9 vom putea compara gi dimensiunea color dout realiztr distinete ale aceluiasi automat. Acum, pentru c& manipulim numa defiitt, vom potea estima numai complexitti,adic& dimensiuni ale defnisilor. ‘Pentru o estimare edt mai corecti vom folosi reprezentiri Ja care misura eom- ‘lexitipi i fle definibitt cit mai simplu. Vom prefera, evident, definirea prin tabel de adevir definjiei prin organigrame, Nu la fel de evident va fi distineja inte tabele de adevir par binare, de tipal celui din Figura 3.11, si tabele de adevir cu variable de intrate incluse, de tipul celui din Figura 3.13. 5.4_Conversia Mealy-Moore si Moore-Mealy a Definja 311 Complexitatea aparentd a url automat A, notaid cu CAg(n ps9), est aid de dimensiunea, exprimatt th numar de big, a descrievittabelulué de adv, care oman lini sin-+2q-+p coloane, penint wn automat cu mulfnile X, Q st¥ codifcate pensasis respects p bit. © ‘eorema 34 Complesitatea aparentd a unui automat A este data de rela: CAalm 7,9) = (+ p+ 29)2"** + 2(Wlogan| + Hogan) + Mogae + 3) +3 unde n, y si q au fost specifcate n defnijia anterioart. © Demonstrajie Tabelul de adevir are un nomtr de rnduti egal eu produsul nice numarul de stiri ce pot fi codificate cu q bij (chiar dacd nu sunt toate codurile foloste pent a codifica sire eutomatului definit) si numtrul de combina de intare cndifcate cu n bi, Deci numral de rindur este 2"*¥, Numirul de coloane al tabeluu de adevir este q +n, pentru specificarea elementelor produsului cartezien (Q x X), le ia starea urmitoare, cu g bil i iesirea, cu Deci. intregul conginut al tabelului poate fl specifica prin listareabitilor pe care- Fconjine. Rezulta un gir de 2°40 x (+ p+ 2g) valori binare. Pentru ca aceastd reprezentare s& poatk fi parcurs& algoritmic, rebuie adugatt siinformajia necesara identifictrii dimensiunilor tabelului. Pentru aceasta este suficient 8 specificim valorile numerelor n, p,q. Pentru cd este necesar& oreprezentare sub forma tui gir pur binar, In care trebuie sf delimitim, irk simboluri speciale cdmpurile in care sunt specificate entity distinct, vom apela la o reprezentare structuraté dup cum vurmeaz + un sir de 1 + |logon|zerouri urmate de un 1, penta @reprezenta, prin numral de erout, eu 2 Diva fi specifiat i binar nméral n + un sir de1+ [logap zrouri urmate de un J, pentru a reprezenta, prin numéral de zerouri cu ci bit va fi specifiat in binar nurul p «un girde 1 + |logao|zerouri urmate de un I, pentru areprezenta, prin numeral de aerout, eu cis bili va fi specfiat in binar aural g ‘+ nurnruln reprezentat binar + umrul p roprezentat binar + numivul ¢reprezentat binar 1 cei 2"*8 x (n+ p-+2e) bit ce reprezintd conginutltabeluui de adev ist prin {nkinuirea randorilor sau a coloanelor (conform nei convenfi pe earealgoritml Ge imerpretare a reprezentarii "sie" Deci, la cei 2°+¥> (n-4-p-+2g) big al tabelului proprin zis se mai adaug’ si un “preambul” ‘are di regula de parcurgere. Acest sir prealabil are o lungime de 2(Looan| + logen| + Hogaal +3) +3 82 3. TEORIA AUTOMATELOR Configuratia binaré rezultatd se ausodelimitéazt, a sensul ef-si conse informasia nece sat& stopirli procesului prin care este parcursi de cltre © masini programaté core. 4 spunaatior. © . Exemplul 3.11 Fe sbeul de adevt din Figura 3.11. Complexitatea hi va f calcula porind de reprezntarea urmitoar: 3 001.001.001.11.11.10.0000000000.0000100000.0001000004 0.0001100060.0010011001...1511011010.1111111010. Eidenjerea efimparilor prin” am ficu-o penta a face girl mai gor de cit sing d bboluite "nu fac pare din reprezentare. Complentatez aparentd este CAgurcar = 385 de ij. ntbetl din Figura3.1 tn ioe semncor de "don't care” se vor comple ‘Snbolri bina deoarecestrctra eal vaavea vn comportament data acle sua. act estimm complexitatea aparent# a unui automat dup’ num&rul intrrilor al bitilor de stare, rezulté: CA4(n,g) € O((n + q)2"+#). Dac estimiim complexitated dup numiral de iesiri p, atunci rezalt: CA(p) € O(p). Morala este evident fn definitea automatelor va (rebui mininizat, in primul rad, numarul de sti i numatul 4 Digloe de intrae, ar, complexitates aparenti nu reprezint§ decft o aproximare superioasd (in cel ‘mai rity caz) a complexitiit automatului. Aproape intotdeauna complexitatea real este ‘mai micdi datorité unor inevitabite (mult dorite) regulartii ce pot apare In reprezentares automatului, Aceste regularitaji apar datortl faptolui cf a aplic cconcepem aver in vedere comportamente care se supun gi ele anumitor reguli, Com pportamentul unei "masini" au este complet aleator, el urmea2i regulile fn virutea crore a putut fi definiti ca masini. Aceste veguli se reflect, de regul, in regularittile ce caracterizeard definirea automatelor. Regula domink spatiul tn care masini sunt posi- a complexiiti automatelor. O itustrare direct faptului cl automatsle mu sunt att de complexe, pe eit pare condensat, prin introducerea varibilelor de intrare in definirea valorilor start si isi ‘In mod evident rezultt o reprezentare mai simpli. Pentru cine aceasta evidenj& nu este pregnant, avem un exemplu tn continuare, Exemplul 3.12 Pentru a caleula complexitatesreprezenari dn Figura 3.13, ce deineste automata! CAT Sn varianta Mealy, va tebus 4 codifckn binar cele 11 simboluri din abel. Cele 11 sim bolori sunt: 0, 1, 8, TI, T2, TI", T2', sa, gi). Yom folosi un cod de 4 bit, deoarece ile reale pe care ea manta pan tenet : mista niet ee De ae Caur-car $170. Inegalttes apa in expresia anterior dort faptuli ck se potimagina, eventual, com- resi suplimentare ale sirali de 170 de bit Folosind reprezentiile celor dou’ variante ale avtomatului CAT, se poate, ‘geum, estima relajia dintre complexitiile acestora, Analizand Figura 3.13 si Figura 4.12 se poate lesne observa cl varianta Mealy este cea mai simpld. Varianta Moore, atria faptului c& foloseste 3 biji pentru codificarea stfrilor va avea o complexitate de aproximativ 18/7 ori mai mae. 3,§ REPREZENTAREA CU BLOCURI FUNCTIONALE SI REG- ISTRE ‘Vom incheia acest capitol la fel cum am ficut- gi cu cel anterior, ancesctind 8 oferim o imagine asupra modului fn care se poate realiza coneret un automat. Vorn folosi penera aceasta module de tip "black box”, specificate, strict functional, numai la ‘Some, Structura intemi a acestor blocuri funcfionale o vom dezvalui pe parcursul capi- ~ tplelor urmatoare, Este totus uilé descrierea sub aceasté form, deoarece, casi fn cazu! ‘ireuitelr artmetice, putem exersa o imagine consistent cu realitatea de la un anurnit fulier, cel al deserierilor de tip comportamental. Complexitatea sistemelor digitale. ne blight sbordase irarhicd ce pomeste de a folosiren unor blocs funetionale in care, ‘pentru incepi, detail structurale sunt construct ignorste. Trebuie 3% aver rabdarea [> dea ienoca dealile pesicw a putea artcula consistent, Im-o abordare top-down, struc- ine de mens dinensune pe cae aciualele chinologi le suport. Abordaresieathicb "he va permite si menginer structurile mari la complexittji rezonabile. fn acest et putem evidenia numa éou8 dite sveele ferahit prin care ln autamat va complet ders, Peimub nivel a fost cel al eprezeniit automata “Cel de al does est cl al blocurforfexcionale asocate functor de tanzti 54 temonie a tr interne. Vor una gaele, ast nc, cititorl acesei ci 88 pootk tli pn Inve de tensor stretura unui automat esinintiaeaon 4, 3_TEORIA AUTOMATEL( Pentru realizarea descrierii automatelor la nivel de blocuri funcjionale sun, folosite dou’ tipur dstincte de struccu «ect combinations, ie yor cle eee ur ¢e wana cap ont de araele sare vat de nae s reste, pest stocren srt iterne 4 auomatla sau penta 8 vel Inca earl areernelecn ioe Definitia 3.12 Un circuit combinazional cu n intrari sim iesiri, CLCy,sn, este 0 struc: tur cu feta de wanser fore, de tipul: fovea #{0,1}" {0,1}" unde efecral variaitor variabilelor de pe intrare se eransmite la iegire cu o Intdrziere data de timpul de propagare prin siructura circuitull, tec. © FFanejia de transfer a unui circuit combinational este o submuime a produsul cartezian ({0,1}" x {0,1}™), astel Inet fecrei conigurai inare de intare, X = {0,1}, ise asociazd un element din malfimea valvilor de iesie, ¥ C (0,1)"". Fun de transfer ese specificaté prin aceasthsubmuljime care indie8"perecile”intrae-iegire ce definese CLC-u Exemplul 3.13, Fie urmitorl circuit combinational: ‘A= {(00, 02), (01, 10), (10, 11), (11,00)} ‘care defineste un circuit combinational cu dou intr i dou’ igi’ pentru c ennulu! modulo 2. Dac pe interes circutulu se aplic, spre exempl, configura binarh 01, atunci, cu © Sntdrziere egald cu ty iesiteacircuitlui va lua valoarea 10 si va rimine esfel pnd clnd ‘© noul moziicae a indi va determina propagarea spe igie a unei noi valor, Timpal | ‘de propagate, ty, epinde de tehnologia de reaizae a cicuitule, Exemplul 3.14 Fe cireitl combinational: ‘a= {(00,010), (01,10), (20,010),(11,001)) care defines ocoresponden nr int eri ce mu poste fi exprimatt into formi condensatd precum fn cazulanerog, care am vorbit, simply, de funcia de incr ‘mentare. Daci vom covifie cel doi biti de ntare prin X1 si XO, iar ce rei bide iegre prin Y2, V1 i ¥0, tune funcia de tranzijie pate 6 imerpretati dup cum urmeazi: 435_Reprezentzea cu blocur funcionae si registe x oS ‘TRANZITIA.JESIRIL ‘TRANZITIA STARIT I Q ——} —_REGISTRU-STARE cK 3 Y Y2= 1 numai dack (C1 nual dacd OX 1 numai dack C1 ‘Yo: Din picate, pentru acest circuit, e pare emu putem gisio interpretare mai condensatt ‘rin care sci putem da o descriere suecint, Primal exempla de citeuit combinsjonal a fost unvl sl unti efreuitsim- lo, deoarsce defnijia aa a put fcompacteta. Cel de at doilea exemplu de circuit ‘ombinajional ete al unui circuit complex. ‘Regist a fost define in capitol anterior sreprezentat fn Figura 2.10. Fatal ‘egistrl comatd pe frontl activ al cess peaitefoosicea tu fo struct’ in tare peste ls nchideo bos combinationala. Dat ieieareisiui ext conectat In inratea unui cicut combinafional a cui iegire ese aplcaté indi registul,atunei ‘modifcarescoojnutoluiregistlui, ca urmare a tanzife active »ceasuli, va 6 Tustk ‘nconsiderare, prin efecal ce se propag prin circuit combinajiona, ua eu piejat trmitoare tanzii active #ceasuu. In acest mod evoufa cnfftulu registrulu este perfect contrat. Pentri a proiecta un aatomat vom folosi dou crete combinafionale, céte unl senira cele dow funfi de tran, si un registra penra stocarea sii, Penta un fulomat Mealy, intriile celor dou circuit combinaioale vor formate din itraren fr aucomat, X,# era regitrului de stare, Q. lesrea crcitului pent funeia (@e tani a str) se va conecta la intrarea repistrals,lesire ctcuituui pear fonctia 9 (de trance a eget) va const iegtea ¥ a antomatu : } } 4 Reeeuna x boo fanconae ene min tat ratio estes | asia a Sn ae ae sear s 3_TEORIA AUTOMATEL ORE. Exemplul 3.15 Fie aviomatel CAT in varianta Mealy, Stivetura lui este reprezenatl ta Figure 3.17 ‘Modulul TRANZITIA_STARUeste CLC-u care caleuleses functia f. Medulul TRANZE TIA IESIRIL este CLC-l care caleueaz’ foncjia g. La fecare fron ati e ceas (In acestcaz, cel pozitv) In registral REGISTRU.STARE se va ‘are 2 aulomalului ce a fost ealeulatk de modulol TRANZITIA START aivays Q(stare or start or tt or 82) ae (rare 2 {start, starth; too: ous efnite in tabell in Figura 311. 2100 ae» et a | prono: out = (22, 170085 Pentru o buniifuncjionare a registrului in exemplul anterior trebuie indeplintg Debit: out = (1PbL, tds endease ‘urmitourele condiqi: exdnodule + intrevalul de timp dintre dou’ fronturi active (perioada semnalului de ceas) re 4 buie s& fie mai mare dac3t timpul de propagate prin registru gi prin CLC-ul cel calevleara functia f, astfel tne&t semnalul si se poatd propaga pe bucli "ski pati trage sufletul” la intrarea registrului inainte de a se Tnscrie, sincronizat ex frontul activ al ceasuluii (detalii tehnice ta capitolele urmatoare) ‘© comutarea semnalutui pe intrarea X este de preferat s& se producti cu un interval de timp suficient de mare fnaintea frontului activ al ceasului, astel inet efectul ‘8 se poatd propaga prin circuitel combinaional "ajungénd” fa intrarea registrlui Inainte de frontul activ al ceasului. fag, do sranziete 0 Snteathh.+/ cea) care ealonlenza func Leet tl, t2); impute TRANZITIA IESIAIT(out, stare, start, Sopot start, tl, 125 input (1:0) stares output (2:01 outs regi2:0] outs always O(stare or start or ti or ¢2) ‘ease (eters) out = (1°b0, 1°80, start) out = 3/00; : Ser = €Ce2 | ve, GPA UD, Cea | DI fut = 1°00, th, 2°00}5 Jekutonatel CAT este vealizat prin trel module: cireuival care calculeaza tranzitia starii, circuitel care calculeaza tranzitia intrarii si registrul de stare. Prezentul modu asanbleaza cele trei ciruite folosind doua conexiuni (wire) de cate doua fire. In “continuarea" acestui box sunt dofinite cele trei module. +/ module AUT_CAT_MEALY(out, clock, reset, start, tl, %2); input clock, reset, start, ti, 12; /sdotinitia rogistrului de stare.+/ ‘nodule’ REGISTRU.STARE(eut, clock, xeset, in); ‘snput clock, resets output (2:0) out; Epa) in wire(1:0] stare, stare_plus; cxseua) sss TRANZITIA_STARIT #(etare_plus, stare, start, tl, £2); atvays #(posedge clock) TAANZITIA_IESIRII g(out, stare, start, t1, t2); Af (reset) REGISTRU_STARE (stare, clock, reset, stare plus); out = 3780; endrodule else cut» ins fendaodude 2continware 3_TEORIA AUTOMATELog Semnalele pe intrarea registrulu-webuie o& fle stable inainte de frontul aety deoarece este dificil ea registrul s4 primeasc& deodaté informajiareferitor la “cum” ep. ‘mut’ pe cea referitoare ln “efind” comut, Este mult mai payin “stresant” pentna cirui, SK fe informat indi asupra a ce se va Inscrien ely iar mai apoi si primeased comand propriuzist de tnclreare, Decuplarea dintre "c&nd” si "cunt" o vom practica curent i sistemele secventiale pentru a intrejine o atmosferd decent’, favorabili acfiunilor coe. cente, PROBLEME Problema3.1 Redefinii procedura CHEIE ELECTRONICA osifel icdt x accepte dout ert 4e introdueere a coda Problema 32 Desenoji organigrama asoviat automatulul CHEIE ELECTRONICA, ty dout | variame: ca automat Mealy cu buérier sca automat Moore imedi. Problema 33. Consiruiitbelete de adevtr pentru cele deus variante de automat din problema | precedentd, Problema 34 Dacd automat Meaty din Exempll 3.9 este strict nial n go, atunci care exe slarea initio a varandei sale Moore cu tidrziere? Problema 3.5. Cun se poate mansforma automata Mealy imediat din Figura 3.17 turrun cw- mess Se | Problema 36 Reprezentai structure unui semiawomat jolosind un CLC sun restr | TESTE VERILOG | VeriTest 3.1 Realiea descrierea automatul CAT tx varinanta Mealy cu fmdrziere YeriTest 32 Realty! decrierea comporiamentald a axtomatlui CHEIE ELECTRONICA t oud varianve echivalente 4 ALGEBRA LOGICA Cele dovd capitole anterioare ne-au intredus paral Tn problematica abordaté de lecronica digitalt, Au ficut-o numai In mésura fn care am considerat c& este necesat pentru a da o imagine asupra problemelor principale pe care le poate rezolva un sistem igual. Ar speranga ef cititorul a infeles, in mare, dou’ fapte simple: ‘+ un sistem digital este format din subsisteme cu funcjiuni de baz bine precizate (exemplifcabile prin ciscuitele de sumare, incrementare, complementare, cam- parare selecfi, sau prin registra, circuitul de memorare acjionat pe frontul ceasu- ui), care interacfioneazd astfel Snedt pot realiza operafii de mare complexitate + interacta dinte subsisteme este realizati prin mai multe procedee: ~ 0 imerconectare conform operate’ de realizat = comanda lor secvenfial8 cu ajutorul unui automa finit, conforma unui algo- ritm de inlinjuire a funcyilor de baz’ = $ilsau conirolul realizat prin intermediul unui automat care genereazi ‘comenzi secvenjate gi In functie de comportarea circutelor comandate. fn oll capitolelor 2513 au Fost puse i evident module cu ajutoral crore au fort sractorate a un nivel deabstractizare tne prea ridiat pentru gustu! unui inginer, cfteva exemple de sisteme digitale. Cum putem face un pas in plus pe calea dezvairit sod fn care aunt structurate intern aceste moviule? Vom port de la observafia 8 att tiruitele aitmetice et gi automatele,folosibile sau controll sau numai la comanda seesiora, ain conn faptul & unizarea simbolica elementard cu care opercazd este bitol- semnul ear ia numai dout valori - cele mai distinctevalori prin faptl e8 sunt sumai doa8. ‘Teoria eare fundamenteazi manipalarea masimilorbinare este algebra logis, o sing eu storie de peste dou’ mii de ai ‘Acest capitol va tata urmitoarele subiecte: 89 90 4_ ALGEBRA Locick, «un scurt stor, in care sunt prezentate momentele cele mai importa cat cae cite algebra logic tn forma pe care astziofolosim pentr a sinter Sistemele digitale «toumeres fret ogc wn eta epee eons | Sonsini, ost oa repute in considers cate, dam nit eg te permite o ftrte ul ntrodvcere inti jn lames fuetitr loge « Tormalizaren algebre! lice ste props intro manier voit neformald, ate indi init ofete o maxim stimalae a imaginafedinginereqi pe cae 9 drm fori in faa agresivitiitpersusve a dverscor formalise rea funcfillor logic oferi temic de reduc ales a compexitii circutelorlogice. 2, 43.1 Funetii de zero variabite oncjile de 22:0 varibile sunt valrile 0 gi 1. Domeniul lor de defnitie este snubjmea vids adic (0,1)°. Exis numai dou func logice de zero variabil, /®, cea Care genereazl zero logic sicen core genereazt unu logic: jinlizarea unor valor fixe fntr-un sistem ce trebuie ald bine precizat Aceste functi sunt folosite penin ‘i funcfioneze pomind dintr-o star i 43.2. Functit de o variabits Funcgiile de o variabilt, [vor fi notate cu f(z}. Numul lor este dat de umiral modurilor distincte de camportament ce pot fi definite [a iegirea unui cireuit logic on singur® intrere. Pentru cele dou valoridistinete ale inter pot fi evidentinte 4 comportamente distincte, in Figura 4.ia este definit tabelul de adevir pentcu toate cele 4 foneti posible pentru & singur intr Definitia 4 Tabetul de adevdr este un tabel care in coloana din extrema sidngd, coloana de intrave, Hsteazat toate elementele multimit valorilor posibile de intrare, X = {0,1}, lar m urmatoarele coloane, coloanele de iesire, sunt tisiate valorile, din YG (0,1), corespursdtoareiegiilor. © lesen poate 4 0 pent ambete valor deta, fo(), poate f I pentru ambele valor f(s), pone f identi cu valoaea de pe intrare, e(=), poate hfe fa de valoarea alia inti, f(z). Alte posbiit n ens Funcfia zera Funcjia fo(z) = 0 genereazi 0, independent de valoarea variabiei z. Ea este ldentied cu ff. Diferenga este daui de faptul cA acum valoarea variablei este ignoract, De cind anterior ea nu exista. Vom numi aceasti functie: ancjia zero. 4 4_ ALGEBRA Locici 8 ; foe. Te ‘ ¢ | Fie 4a Fei eo iit Te nr vai Ci stan trhcweire: una neiigocursedonte spacen mean e era saws eget 4 Funetia NOT Funcjia f,(x) = 2! genereaza pe iegire complementul fafa de 1 al variabilei de intare. Numim acess funefie NOT. Ba reprezin funcja de negate, fun logick cea ‘mai impor a molimiifaneilr eu 0 itare. Negeia este interpreiarealopicd dat ‘cess fanci. Atragem tena asupra notte: 0 vaviabil “apstrfata” este o vail | nega. Inunele ner vey si notattnegatia gi prin supralinire: alti interpretare pentru aceasti functie, arinmeticd de data aceasta, este cea de “| incrementor madulo 2. Int-adev,inerementarea modulo 2a numiruli Od num | 1(0 +1 = 1), jr inerementarea modulo 2 li 1,8 numnal 01 +1 = 0) Ambele interpret ne vor futile atunei nd vom ciuta soli unor probleme concrete, La necesitateafolosirt unui inversor vom ajunge $i pe cdi formal, algortmice, definite cu maxima rigoare. Dar bucuria va 8 mai mare stunei end vom accesa solu direct si vom folosi metodelesstematice nama pent a verifica Gael am avut deptate Yorn conta, de flecare dtd 8 efvnd sctematic ese mult mai mic pentr a valida 0 soluje decte pentru ao gis Funcfia de driver Functia fo(zr) = 2 las variabila de intrare nemodificata. Pare o funcfie inutil © vom folosi totus pentr a specifica cireuitele de tip driver. In apliaile concrete unele semnale rebviese aplicate la iniarea unui numar mare de cieuite. Aces fpt “consumienergia”semnalulu, Pentru “Invigora se foloses ciruite speciale caren ‘modifi valoarea semnaului,regeneréndu- numa pentr a poteafaplicat mai multor 143, Enemerareafonsilrlogice 95 ina tautologie Fanctia f(z) ~ 1 ese independents de valoarea variabilel de intrare genertind vyaloarea |. Ba este idemtica cu 79. Vom numi aceasti functie tautologie. O vom regis fn tote serie de funeyi. O tautologie este o funcjie care ia valoarea I pentru orice combitafie a valorlor varabilelor de intrare. Uilitatea acestei funciii este mai mult rworeti, Definifia 45 O functe logic este sorigfabila daca exist cel pujin o combinafie « val- corilor de pe inti pentru care functa ta valoarea 1. 0 Functia este insaisabild. Problema satisfibiltti une foncpi este funda- ‘mental pentru sina sistemelor digitale, Un program pentru verificares acestei pro- prietii ete foarte simpla, el webuie si decid dack pentrs j* valoarea i este diferitt Sau epalé.cuO. Din pate, impul de rulare al unui astfel de program creste exponential cu mural de variabil, al unei funcyii © funeie este satisfsbil dack imversul imu eae 0 towologie. Pentrs aceasta, in canal cel mai defsvorabil, trebuie calculaté funetapiru toate cele 2" combina den bifi ale domeniului de definitie. Dee timpul ézcalealeste m 02"). Dar, daci aver inspiryias8 ghicim, noroces, o configurate de n biti pentru care functia este satisfiabili, atunci verfiearea se va putea face int-un timp fa O(n) -AceasiFobservaie nu are nei ovaloate teoretied, dar este deo iment utlitate m pratica inginereascB. Tar dack este aga, poate ar rebui 5X ne ceformukim aitadinea In raport cu een cetearia este! Rolultautologic este poste si acela de a ne prileju un gn atentasupra a een ce iea de teorie poste Prin aceasta tautologia precints un interes mai molt doc8t unal teoretic. Pragmatisraulinginerose poate fi foarte sugesti pentru rigiditatea formal a teoresiianuli. in Figura 4.1 sunt reprezentate simbolic gi cele 4 circuite asociatefunetilor de ovariahité, 433 Funct de douil variabile Funcjiile de dowd variable, Ji(e, y) pot fi redatefntr-un tabel in care apar cele 16 modi in care iesirea poate reayiona la cele patra combinaiiaplicate pe intrrile 2 iy (igura 4,22). In tabelut de adevar al «uturor functilor de dou variable vom regis fee deja discutate si multe altele, lure acestea, uncle vor fi mai interesante eedt atte, fn sensu ch vor reprezenta fanetii ale unor circuite fundaznentale pent constragjiasistcmelor digitale. Din acest motiv nu vom comenta toate cole 16 funcii e dout variable, ci ne vom malfumi cu discutarea unora pe care le considerdim mai important. 36 4 ALGEBRA LOGICA Reconoastem fn funcile fu) = 2" si fia(e,u) = v/ fonetia NOT. Prima nu depinde de variabila y, i cea de a doua nu depinde de varbila 2. Funcfia driver este ji a piezenté prin f(x,y) = si flu) = 2.De asemeni,funetile 2er0, fo(z,¥) sitautologie, f(y) = 1 Funcfiile AND si NAND Stexomin Fonetia fe, Observim cf ia valontea logic 1 nomal atuni cod «ut 2e4t $y tau voloaree logic J. Vom num aceaté func AND (dela englezescul and). Vor ota funct AND dup cum urmeszi : Sale.) = ay. Funojia AND mai este numitl si produs logic. Fonefia cu un comportament invers va fi NOT-AND, pe scurt NAND, Identi= ficim pe fr(x,y) ca fiind aceasté functie. lesirea acestei functii este 0 logic numai stapled ambele ei sont pe | Joie. Not ania NAND prin flown) = (2 Siboluile lopice pent funsile AND gi NAND sunt reprezentate Figura 4b Figura 4.20 Pe land inerpretarea pur logic a acest une vom mai Cvidenga gi atele Funcjia AND reprezito poart n sensl eX una din variabile permite "e- cerea” prin circuit a elelate.S4 pesupunem ek "deschide”poara pentru y. Ate, iesiea ruta este 0 pena x= 0, in sensu ck poata este “Toca” nimi rs “uece, ia pent x = 1 poara este "desis", Geourecefeyira fa valoaea Ii, In sensu ey trece prin pour, acest comportement se poate observa prin simple inspec 2 valor in clean fein raport ca valerie pe eel ina variable de intrare =i. Termenal de poar et elo, print extesie demi abuciv, pena vice ciresitloge element cu dou sa mai mule ini. i ictal NAND est too peas dou in Funcia AND ares interpretare meric ese creital de nmr pentra numere binare reprezenate eu un bit. Inradevs, 0x0 = 0x1 = 1x 0% 0.4 {x 1 = sir tbeal confirm deoarece inc I mamel penta 1 ‘om folos, spre exemple, poata AND or de cte oi: va tebui Fig. 4.3 Circuit de tp “poart8” cu comands, b, stv pe zero, CCireuitul este ceprezentat i. Figura 4.3, El a fost conceput pornind divest detain pretareaciruitelor NOT si AND. Funetiile OR si NOR ‘Un coneetiv la fel de important ca sul, tn articutarea discursului ; ural, este conectiva sau, Aga cum inexprimarea in limbaj natural articulim propos simple pein gsfsau sau, tot aga flosind acesteconective putem articla “afin” pet fice lumii, mai simple, asistemelor dgiale. Merith si vedem dact, in tabelu celor 16 funcit de dout variable binare, exist si functia ce poate fi asoeiat coneetivului sam Sper clin va surprinde pe nimeni glsirea li fh eoloana nota cu fa. 5 ‘Vom denumi OR, de la englezescul or, functia fia deoarece ia valoares 1 dack ‘iabila Ge intrare x sau variabila de intrare y ia sau ieu Valoarea 1. Valoarea fanci este O numa cnd ambele vasabile de intrae sunt 0. Notaiaalgebrit a aestei func Sf este: Salty) =2+y. ‘Functia OR mai este numita gi sumd logica. . Tnversul aceite func ete NOT OR, prescurat prin NOR. Fungja NOR i valoarea | numai atunctcind ambele variable de intare au valoarea 0.” Vom not foneyia NOR prin: flew) = (e+ yy Pose OR si NOR sunt reprezentte in Figun 4.24 gi Figura 42e, Induce sj, a acest leila exerplu, dps pole NOT 51 NAND, cX cereulefl ea eses cir exe asocit nega Si cuir! OR poate interpret ca pours, De data aceasta inhiderea port se va manifesta prinicun | pe iesre. Dick = 1, atc! iegirea nu mai depinde dy $i este egal cu 1. Inspectaeatabeluli confirm iret propoiiaatrioark. Sema 2 se postezl tn poar gf na mal last af weack semnaluly.Inteun fe, putem spune cd = “stop pouta. Exempla 42 Folosind cireuitele pe care le cunoastem deja, sk proiecttm nul dint eireuitele ce su dovedit uile mn structurarea sistemelor aitmetice din Capitola! 2: selector, Vom proiccta circuit pent a selecta cuvinte de un bit, acestea a § , eu autor semnalo- lui de selecyie «, Pentru s = 0 va fi select, la isirea se1_out, valoarea de pe incares 4, jar pentru s = 1 va fi select valoarea de pe intrarea b, Pentru a ne putea imagina circuitul (ah sans nu avem in absenja unorinsieumente formale) vom exprima func [ai nt-un Limba) natural eu reste, dps cum usmen2i: selout = tehnd(e=0 Ysaue! = 16h 2}. Jota sunt fn 1. Datoritl acestui comportament “exclusivist” {14 Brumerace funcilorFogice %9 “pub Fig. 44 Circuit selector pentru euvinte de un bit Exprimarea anterioar8 ne sugeresekfolosiea a dou circuite AND, cfte unul pentru re- alizarea acjiunilor din cele dout parantze, gi un circuit OR pentru a sua logic iegirile celor douk pori anterioae. De asemenea pentru a objine pe #', ce apere in cea de a dou paranezi, este necesar un inversor, Rezule struc de circuit din Figura 4.4, unde, {in facare moment nu poate fi deschisi deci o singur% poarti AND, deourece cele dou, ‘ors au edle o intrare comandatl fn anifazk, Dack ¢ = 1. slunci este comandath de- —-~fehiderea pom ee permite wecereasemnalului b. Dacs ¢ = 0 atunei. prin citcuitl NOT, ‘ste comandatl deschidereapori care permite trecereasemnalului a. Penru a cele oul semnale, a sau b sk poat fi selectate In acelasi pune, isirile porjilor AND sunt sums logic printrun OR, prin cae va tececind a cind &, in funete de comands s 7 Funefile XOR si NXOR ‘Si vedem ce lueruri utile se mei aff in tabelul din Figura 4.2a, Ne vom opri in ‘dreptul coloanei notatii cu fe si vom constata cil aceastt funcjie este un fel de OR care ‘iu permite trecerea la iegire a valorlor de 1 aplicate ambelor inteiri. Circuitul asociat seesteifuncti este un fel de OR care exclude activarea iegirit in 1 atunci cénd amnbele cireutul a fost numit sau exdlusiv. Vom folosi abrevierea XOR, de la englezescul eXelusive OR, Notajia consacratd pentra aceastd func este: foley) =2@y. Restrcya introdust funcyiei OR poate fi exprimatd in functie de conectivit pe care-i ‘uncastem deja, pornind de la urmltoarea exprimare: Sole.y) = 1 daca ( ‘Cunotajiile algebrice scriem: Osiy = sau (e=1siy=0) Seley) ; 4_ ALGEBRA LOGICA Funejia negatt asoctatt este NXOR (de la NOT XOR), pe care o gasim, in acelagitabel, sub forma’ flewy) = (Ou) Say +2. Simbotusitelogiceascite sun reprezentate in Figura 42f tn Figura 42g NNe-am opritasupraacesti fai din cauza maliplelor interpret pe cae le are interpret care elect utlitatea acest fenfi gcreis asociay, in ecle mai civerse apie Prima inerpretare: XOR-uleste un sumator modulo 2 petra nimere repezen- execu un Bit, Prin inspectarea bellu din Fguia 42a cloona fe, se poate valida interpreters, Fte-adevdr, dad cele out numere sunt iy, atnel se verified fapal ck Or moaa 0 + moda Lt made 0 = 1 “Lt mot 1 A doua interpretare: XOR-ul este un inversor comandat, in sensul e& una dintre intriri, fie aceasta =, comand’ modul in care este transferatf la iegire valoarea de pe ccea de a dova intrare, y. Privim in acelasi tabel si observ c& pentru 2 = 0, fe 'y, Valoarea lui y trece nemodificatd prin circuit, iar pentru x = 1, fg = y/, valoarea tuece negati prin circuit, Iatd-ne tn faja unei functii foarte utile.” S& ne amintim c& pentru operajia de scidere, descizutul trebuia, pentru Tnceput, complementat bit cu bit Folosirea unor circuite de tip XOR s-ar dovedi, deci, oportuni pentra realizarea unti sumator/scizit A eia interpretare: NXOR-ul este un circuit de coincidenya, iar, evident, KOR- ul este un circuit de anticoincidensa, Int-adevit, analizand functia fo, observiim ci ia valoarea | numai cdnd valorile de pe intrare sunt identice. Functia je, pe de alté parte, ja valoarea | numai atunci end intrBrle iau-valoi distincte. Exemplul 4.3 7 Folosindcircuitele deja studiate ne propunem proiectarea unui circuit de coincienpen= tru mumere reprezentate pe doi bill. Fie aceste numere: aya si bibp. Vom nota iesirea fu equal. Rezulif structurile din Figura 4.5. Prima dinte el floseste dou’ cieuite de coincidengt (NXOR) pentru a sisbili coincident bijlor de aelasi ordi; un primt NXOR pentru eoincidenja valoriorbigilor cef mai semaifcativi, a sibs si un altul penta & ‘abil coincidemd bsilor mai putin semnificativi, ao si by. Faptul eX bili cei mai sem hifconiv coinid si biz cei mat pun semnificaivi eoinid, adied cele dovi configura binarecoinei, este sabilit ev sjtorul eircaitului AND, conecta la iesirie primelor dou. {In Figura 4.5b este prezentatl si o 2 doua variants Aceasti variant a fost construitd pornind de la echivaenta dire urmstosrele dowd propozii | | 43. fume a); 7/Detiniein civeulvutul NOW? input 5 output outs sesign out = “inp cenenodule podute AND(out, int, ind); //Definstia céreuitulai AND// snpue int, ind; output outs assign out = ink & in2s ondaodule podule OR(out, int, in2); //Detinivia circuitelus OR// amput int, in2; ourput out: assign out exdnodule module XOR(out, int, in2); //Definitia eirenitulut XOR// Smput int, in25 int | in; output out; assign out = int ~ in2; exdnodule nodule TEST; //Definitia modvlului de testare// Teg X,Y: inicial #0 step; initial begin yds 410 yet #10 a1; 20 yet end NOT HOT (o_not, =); ND awDso-and, x,y); OR ORI(o.0r, % ¥) XOR TORS (6_x0r, x,y) initial begin Szonitor Coramestiod xet> yaK> NOT=K> ANDWHh ORD XORMD", Stine, x, y, NOTL.o.n0v, ANDI.o.and, OR1,oor, XORL.o.xor); end endnodule /Rexultatul eimul’erii este urmatoarul+/ {x20 y=0 HOT=1 AtD=0 OR-0 XOR-O Time=10 300 y=1 HOT=1 AND=O OR*1 KORE YyeO NOT+0 AND=0 OR-1 XOR- y=1 MOT=0 AND=1 ORF1 KORO 101 102 4 ALGEBRA LOGICA a a 23 a) ™ ig. 45. Cireuit de coincident pentru cuvinte de doi biti. a. Varianta eu NXOR-ori si AND. b. Varianta cu XOR-uti gi NOR. Dix cel mal semmnificarivt coincids bp cet mai putin sernifcativ coineid ima este adevdrat cf mu coincid biti cei ma! semmifcaiv, saw cf mu coincid| Digi cei mai pug senna. Putem obfine forme echivalente, structural distincte, pornind de Ja moduri diferite, dar echivalente, de a descrie fn limbaj natural. Suntem ineX foarte apropiati de fundamentele algebrei iogice (de Aristotet sau Lullus). Cand vom dobndi instru- ‘mente formale (de genul celor puse la dispozitie de Boole, De Morgen, sau Pierce) vom realiza aproape mecanic astfl de tanslafii. Va fi mai bine, mai eficient, dar nu trebuie sX neglijdm nici aceste edit inuitive care au calitatea de a ne antrena imaginata pentru ccazurile in care calea sistematicd este prea laborioast. Exemplul 4.4 Un XOR, cu intrsile = sy, este un eieuit selector (Figura 4.4), cu intraea de selecse 2, care selecteszt la iegire valoarea li y sou a ui y/ (ne reemintim e& func XOR este unctia de negare comandat8) pe care-! enereaaS cu un circuit iversor, Rezul schema din Figura 4.6, realizal cu un citeuit selector gi un NOT, prim sci discujia despre crcuitele cu dout irri, Cele ce au rimas nebiigate ‘nseami se pot reduce la cele deja disutate, in alte domenii (cum ar fi programarea in limbajut logic PROLOG) funeile pe care nv Te-am discutt sunt de prims importan{s. Peniru domeniut circutelor,funeyile NOT, AND, NAND, OR, NOR, XOR si NXOR, svar dovedi de prima importan 143 Enumerareafuneflorlogice 103 1 I A — Fig. 46 Circitl NOR calzateaslecioe prin z aly sal 4.3.4 Bunefii de n variabile Pasul urmitor ar ebui s presupund stadiul functilor de tei variable si, fn consecinfa, al eiruitelor cu tri intrdri. Pentru aceasta primal pas ar consta fn definirea “J tabelutui care s& conjind toate Functille de tei variable. Avantul nostru va fi imediat temperat de constatarea c& tabelul cu pricina ar tebui si sib 256 de coloane fn partea stingf, cea tn care sunt definite toate funciile de tei variable. Deoarece pentru trei variable (intrri) exist 8 configurati binare distincte pentru care webuie definitéfuncta, ‘vor folosi pentru aceasta un cuvant de 8 biti, iar numaral total de euvinte de 8 biti este 256, ‘Teorema 4.1 Numciru, al funcfiilor logice cu n variabile binare de intrare, f, este: Demonstrafie Existh mn = 2" configura distincte de n biti. Defi functtlogice presupune specificarea valori binare a funcfiei pentru fiecare 1m configura de n biti, Rezultd cl pentru definija unei funcyi vom folosi o eonfiguratie binarl de m bigi, Numérul distinet de functii va fi dat, in consecinj8, de num&rul de cconfiguraii binare de m bii, care sunt in mumér de 2”, Deci: Neato ‘Se pare eX nu vor mai potea continua cu enumererea funcjilor pentre 3, 4, sau ‘mai multe variable, Daci cele cu 3 varabile sunt 256, o cifra in limita rezonabilului, cele cu 4 variabile sting cifra impresionanta de 64 K functii = 65596 funetii 10s 4 ALGEBRA LOGICA Ticcaparator de egalitate pentru nunerele de doi bivts al a0 val bi bO rnodtle CONPARATOR-2BITI(equal, ai, a0, bi, b0); //Descriere structurala input at, a0, D1, BO; . output equal; wire ¥1, ¥2, 9; KOR xORL (wt, ‘at, 1); OR XOR2(¥2, 0,” BO); OR OR1(3, 1, 82); WoT NOTL(eqval, 3 ‘endzodule {/Medaiel de test care genereaza toate configuratiile de intrare ‘vodule TEST_COMP2; reg al, 20, bi, BO; //Pentre generares intrarilor comparatorului initia #170 $stop: initial begin //enerarea stimulilor de intrare #10 fal, a0, bi, b0) = 470000; #10 {at a0, bi, 20} = 4700001; Msi tot asa pana #20 fat, 00, bi, do} = 4ret21 end CONPARATOR_2BITE COMPCequal, ai, a0, b1, 0); //Cire. de tostat initial begin //Definirea sistemlui de’ efisare Saonstor ("Times%0d aiakd aO=K> bid bo=d EOUAL=1D", $time, al, a0, D1, D0, COMP-equal); end endnodule URezsleatm) sinulerii Tomen000 a1=x a0=x bi=x bO=x EQUAL=x //Initial valori nedefinite TinerO10 a1"0 a0%0 b1e0 BOO EQUILS1 , Tine=020 EQUEL=0 Tine=080 a1=0 1200 EQUAL=0 Time=040 ai=0 ‘vost EQUAL=0 Time=050 af-0 20-1 bi=0 bO=0 EQUAI=0 ‘Tine=060 a1-0 20=1 bi=O bO-1 EQUALS ‘Tine=070 af=0 a0=1 bt=1 b0=0 EQUAL=0 ‘Tsme=080 01 isi bost EQUAL=D ‘Time=050 at=1 20=0 b1=0 bO-0 EQUAL=D ‘Time=100 2 0 bi=0 bO=1 EQUAL: Timerti0 at=1 a0=0 bi=i DOO EQUALS2 ‘Tine=120 a1=1 a0=0 bi=1 bO*1 EQUAL=0 Ténee130 a1e1 a0=1 bIe0 BO=0 EQUAL=0 Tineei40 ai=1 a01 b1X0 BO*1 EQUAL=0 Tine=160 a=1.a0=1 bi=1 BO=0 EQUAL=0 Téneri60 al=1 aG=1 died BO=1 EWAL=1 42)_Enumorarenfunetilér logice 105; 2 iae cole cu 5 variable binare de intare ating cifainabordabilé de 4M functi 4294967396 functii. Penteu n = 6, un name foarte mie de inti penta aplicayile curente, rez 1 = 18446744073710000000. Este evident et pent a studi funeilelogice va trebui st gisim si o al soluie. Enu- rerarea pe care am reusit-o penta n = 0, 1,2 s-a dovedit foare util, a introducere in domeniul Funcyilorlogice, Pentru ale stpani ins& pe toate va uebui sf apelim la o ale abordare. 4.3.5 Cate functi utile CCresterea exponentialé a numfrului, NV, de functii de n variabile ne pune in faja vunei ntrebari imediate: ofte dintre aceste funciilogice sunt utile sau uilizabile? Cite inte ele sunt utilizateefectiv in aplicaile realizate sau cealizabile. Nu ne putem abjine sinu remarcim faptul cf numrul funcjiilor cu numa 8 variable de intrare binaré (2258) epiigeste numérul estimat de particule elementare din Universul accesibil observajiilor astronomice curente. Este mai mult decat evident e4 raportul dintre numtrul functilor logice vreodatt folosibile si numarul total de funciiJogice cu ng intr, unde ri ia Valori rezonabile, de ordinul zecilor,tinde vertiginos eitre zero. A vorbi despre foare funcjile logice pare a fl un nonsens. Cum delimitim submuljimea infima a celor utile? Ce caracteristici are aceast& minuseula submuljime? Este prea micit peniru a nusi putea gasi o caracterstiod. Cu toate acestea, nu dispunem ‘deo posibilitate de a caracteriza submultimea funchilorlogice ulizabile. Vor continua stile studiem pe toate, cu mijloace teoretice ce nu pot evidentia specificity utile pentra functille de interes tn aplicati ‘Nuavem posiilitatea, sau nu avem curajul si delimitim submuljimea funcfilor tilizabile?” Nu putem rispunde ferm la aceastt intrebare, Aravea o utiitate gisirea unui raspuns la aceasta intrebare? Da, Ne-ar putea permite si ne concentrSm asupra acelor ‘unctit utilizabile, in vederea une optimiziti structurale. Prefer, in sehimb, s& ne ‘mentinem fa cimpul deschis unde sunt raspindite nediferentiat toate funcfile logice Posibile. © parte din ineficienta demersurilor noaste se datoreaz8 acestei inesipijanate sau lage rimaineri fn “cmp” deschis Nu esi lps de impor fpil ed pear acest Func de 4 variable se vor putea 3st yor nmi nei o Hibs exseras, Vecablaru etine at maori Umble cunosevteconjine fur de 50000 de cuvine 106 4_ ALGEBRA LOGICK, 4.4 FORMALIZAREA ALGEBREI LOGICE Complexitateainaccepabii a defini algebret loge prin enamerare,a deter mina abordarea ci sob forma nui sistem formal bazat pe un numa redus de axiome si regult de derivere, Demersl de tp aristoteio, chiar gi cel al lui Raymundus Lu Tus, putea in mare masurd'de tp descrip, dar odaté eu algebrizare logic se pro- duce 0 deavoltare explozivi a fermelo (fncilor) posible. In secfunea anterior am evidentit eresterea “de dout ori” exponential numérutai de fame lgice posible Cun contol asese mens spat funeional? La aceasta inrebare va rspunde demer- sul sistematieprezenat in acest seine, Prezentaren sister a algebre logice presupune: + definirea unui alfabet finit de simboluri cu care se va opera ‘+ alegerea unui set finit de construeiiformale drept axiome + specificarea unui set de seguli de derivare a constructiilorcorecte. Exist mai multe modusi in care poate fi prezentatt sistematic logica boolean Lao extrema se afld tendinta de a prezenta teoria pornind de la un numer ett mai mic de axcime, A fost atinsd performanta de a se dezvolta algebra Boole pornind dela singurd axiom (foarte complexa si neintitivi). O alti tending& este de a se folosi un numar de funcji logice de bazai cat mai mic. Si acest deziderat este accesibil cu efecte, uneori chiar pozitive. Vor vedea c& pot fi exprimate toate funcyiilelogice folosind conectivul ‘fang logicd) si-nu sau conectivul seu-mu, cu efecte uneoti utilizabile, Opindim 8, din punct de vedere ingineresc, aceste solufi extreme sunt limitative Realizarea concreti a structurilor digitale este bine sprijinit& numnai de un cadru teoretic Aexibil, in care diversitatea formelor de reprezentare este mult mai important decdt rigidtatea gi austeritatea performanjelor pur formale, Din acest motiv nu vom prezenta © descriere formal a algebrei logice, intr-o manier§ excesiv formalizati. O prezentare ntwitivi gi pragmatic este mult mal utd celui care vrea si construiase8 in domenial sistemelor logice. Dezvoltarea unor tehniei fn care sj innugia sau fantezia si joace un rol important oconsiderim obligatorie pentru dezvoltarea imagina(ieiinginerestl, Ingineral ste un individ a catui principala menire este aceea de a introduce fn realitateobiecte utile ce anterior nu existau. Aceste obiecte nu pot fi “cfutate” prin mijlosce formal, int-un ‘mod sistematic deoarece spatiul in care sunt rispAndite este mult prea mare, Ele trebuiesc ‘maginate, ar pentru detalii de realizare concreti trebuie st dispunem de instrumente de validere. Nimeni nv a calculat vreodaté structura unei magini de ealeul. A imaginat-o, iar apoi a folosit“calculul” pentru a dimensiona sau particulariza, deta Faptul eX o prezentare sistematicd, sub forma unei teosii compacte de dimensi- tune rezonabilf, este posibil8, ne centfck nivelul coborit de complexitate la care putem avea acces avand ca baz’ algebra logic | | 44 Formalizareaslgebeilogice 107 44.1 Principiile algebrei logice Prezenare ce urmea se a face sb ora enn uno picpt ols eves scons afore un loge cette ce lesum soca. Yom fl Feast ose al i ep atte oe on ‘et cielteeicn alain tcnologie corente,Crotele NOT, AND, OR MOR sun cre ost penta siatuaren steele gia Principe ce urea au fot ales penta elite oe Dine ecialentle mes pot sla mn messes, cask cntiieaiomele in ete pot 8 She elle. No ace, penta ints ot, pK eum am mai spas, ma enon de a deol eri ager loge, o a0 flo Principiul identitagit Principiul identifi statuea24 identitatea cu sine a unei vatori logice: ama. ‘arent, acest principin ete evident. in relitate el ete imposibil de tdeplini eft éstefatdegura aproximet. Din punct de vedere fae nimie nu pate rémneidentc eu Sin. Devenirea este o tending fundamental a existenei Impunénd sau acceptin acest inciiapolim relitatea de una dintecaltiile ei fandamntle. Aceatkineremenire in fal logice este, cu sigurang,unuldinre motvele penta care eapscitatea sistemelor digi se dovedeste, tn uitim instant, at de ita, Limite dezvolti unui sistem seal de regu, nlimitrile pe cael aceptim iii pentru fundamentarea sa. Pentru celeeevrmeavi va trebui sk scceptim necoriionat acest prncpi.Limitele pe care le ‘alimpane ma vor pare pe pacursu acestei cig Ble ne pindese molt mai trio, stunci Cod incercim si inelegem ce este in sen caluto i care este reaia lui cu mintea omni nate de a ne Boca tn limit vedem cum se poate consti in cadraliitat de prncpu\,deocaméatdinofensiv, a identi Prineipiul dublei negatii Un al doilea principiu, la fel de evident gi sestrictiv totodati, este prineipiul lube’ negaii, care stabileste c& negarea negatiei unei varabile las valoarea acesteie neschimbati, Scriem, conform acestu principi (a 0. ‘Aces principiu statueazs o form de “reversibilitate” tn aplicarea funetii Jogice de ne- gare. Ratjonamente musujate asupra unor procese reale ne arath ct reversibiltatea este Tntotdeauna aproxismativa. Realitateasufer’, este adevarat cdi forme foarte diferengiate ALGEBRA LOGICA Dep! b » 1 Dintane € Dyratare Fios(asbye) b 4.7 Thusvarea circitstics a prinipilui asocatvitii, calitativ si cantitatiy, de o funcyie latent: memoria, Procesele fizice nu “uit” com pilet ce s-a petrecut cu ele. Acest proces natural este ignorat fn domeniul funcjilor si circuitelor logice, motiv pentru care functionarea sistemelor digitale isi apropie 0 nenaturalee frease3. Este in frea logic nefirescul reversibilitisié abgolute?. Lannivelul cel mai elementar, devenirea gi ireversibiliatea, ca procese naturale, sunt iggonite din spayiul abstract al logicii si circuitelor logice. Sistemele dezvoltate Ja nivelul citcuitetor digitale nu vor fi limitate fundamental prin aceasti abstractizare. ‘Numai atunci cind circuitele digitale vor fi foloste pentru arhitecturazea sistemelor de caleul, vor apare unele probleme. Jar atunci c&nd, prin calculabiltatea computerelor, vor incerca st inelegem Iucruriesentiale despre creier, mint, constien3, constinjé sau legile fundamentale ale existenfei, vor resimi acu aceste limit inisile ale demersului ce pune bazele algebrei Jogice. Grav este cil uneori nu mai ne reamintim aceste limit pe care singuri[e-am stawat. Asociativitatea ‘Si ne reamintim c& atunci cnd am vrut si investigém circuitele ew mai mult 4e dout intari, am fost blocafi de dimensiunea spajiului functor ce s-a deschis in fapa nogstré. Prin principle asociativitiii reugim sX abordimm int-o manier8 constructivi ‘acest spajiu. Acest principiu ne permite si definim funcji de mai multe variabile binare si, m consecingl, circuite logice cu mai multe intrir. Pentreu cei tri conectivi binati (Conectivi pentiu doui variable), asociatvitatea este definiti dupa cum urmesza: at(b+d=(ati)+te ‘afbe) = (ab)e = abe 28 (bec thee AG i)ec=aabec Spre exemplu, funcjia AND pentru 3 variabile se poste realiza folosind dou funcgii de tip AND de doua variabile, anterior definit8. Acelasi principiu ne arata c& “isl lone, ermal consti, care principio dub nes nu este vali, In ope intiionist oncepat de Brower si Haytng in prima jumiate a a secollut 2, in eer de a limpeni parade logico-matematce ces princpia mt ete posto T 44 Formaliazesalgebellopice 109 cexistésiciteital AND cu uel inti. Este fil, y,2), unol dintre cele 256 de cireuite oe3 intr. fn Figure 47 sunt reprezentae tri forme pe care le poate Tua crcuital AND oo ue intr, Reprezentii similare pot date cieuitetor OR (fasal,u,2)) st XOR eo(a 2) eu tel ini, eat ee evident ob apicreasuccesiv a aces prvcipia ne va permite sh con- siruim fungfile OR, AND gi XOR cu un namie, teoretie, ores de mare de inti ‘Atentie, nu orice funcjie de doul varibile poste f transforma intruns corespondent "3 sau mai mute variabile. De asemenea, uu toate functite de tei variabile pot ft ‘obfinut prin aeest procede. Comutativitatea Principiul comutativitiit ne permite sti conectim intrarile anumstor eircuite in orice ordine ta veriabilele asociate funcjiei. Cazurile tn care acest lucra este posibil, pentru funcfile de dous intr, este specificat fa wnatoarele tei echivalente: abb=b+a aeb=boa Folosind asociativitatea se poate arita ch ptem aplica comutativitatea si unor circvite cv mai mult de dou’ inter Exemptul 4.5 (0 variant « aplicditcomtativitqi in cal functe! OR ou uri imu este vemitoarea: etbte=latdte= 4a) tenet (bra) serbto, {In prima ep am apicatasociatvitaie, apo comutavitatea tn parantez4, pe urma eo: ‘matatvitatea pe priml nivel al expresie itn final, din nov, aociatvitates, “Teotiizice ce deseria realty ce depose 0 anumitlsubiitatefolosese opera- to anisimetic. In mecanic cuantic, pre exempta, necomutatvitaes unor operator reflects propre ese ale reali, Comarativitatea est 0 proprietate&funeilor OR,AND si XOR ce efecto simplifcare pe care moc slgebre logic presupane Distefbuti ea ‘Cum patesn conzcta funeyii logice diferte pentru a consti alte fercii to ra soa vedea, ate wea de disiritusiviiate ne fer calea ke a corstal, dupi cut _4_ ALGEBRA LOGICK, T tebe) | | | o o ° ° 1 1 4 1 Fig. 48 Demonstrarea prin tbelul de adevir a inechivalengei a @ be # (a 5)(@e) funcsiile logice folosind AND-uri, OR-uti gi circuite NOT. Pentru ineeput, formele in care aceste funeti se pot combina elementar sunt urmatoarele: o(b+¢) = ab-+a0 athe=(a+i(a+e a(b@c) [Nu orice forma de distibutivte este posibitS. Spe exerap: ab@ac. a@be (aS NOG) Demonstrajie Vom putea demonstra inechivalenta anterioara folosind tabelul de adevir din Figura 4.8. Primele douti coloane de iesire, din dreapta tabelului, sunt folosite pentru a calcula partea sting’ a inechivalente, iar uems&toarele trei pentru a cal- ‘cla partea dreapti a aceleag expresi, Folosind subcoloanele bsi din colosna de intrare se completeaz& prima coloand de iesire cu AND-ul dine b gi e. Apei, in urmatoarea ccoloanii de iesite, se realizeaz XOR-ul dintre subcolana « de intrare si coloana de iesire anterior calculata, rezultand expresia din stanga inechivalentei. Pentru calculul expresie din dreapta inechivalentei se realizeazk XOR-ul dintre a sib fn atreia coloand de iegce a aceluiagitabel si XOR-ul dinue a gi cin cea de a patra ccoloaisé. Ultima coloana de iesir este completatf cu-AND-ul dinue cele dous anterioare pentru a se ajunge la valorile de iesire pentru expresia din dreapta inechivalenjei, Diferenga evident& inre a doua coloant de iesre si ultima, din tabelul de adevir, probeazi corectitudinea expresiei de demonstrat, 0 Utilitateasuplimentard a egilordistributivitayi este accea c& ne oferé unl dimre ‘mecanismele prin care realizim o operatic foarte imporiantA in proicetarea sistemelor logice: minimizarea funcilor logice sia circuitelor asociate | Definitia 4.6 Prin minimicare dyelegem procedeul yzin care wansformdim o funcjie logica asifel ineat sa se redud dimensiunea circuitului logic care o realizeacd fice. “44 Formalizareaalgebrei logice 6 c lr b | Faalosbd . fna(0.b,0) ig 4.9 Musware cireuistic, printen exempl, a prncipilui distribu Definfia 4.7 Dimensiunea unui circuit logie, CL, notard eu Sc, este dat de numarut totat de intr at poritorlogice elementare ce-l compun. © Definigia anterioar are o mare doz de arbitra atunci cind o folosim pentra a cvalua éimensiunea unui circuit, Dupi cum vom vedea, in tehnologiile actuale, acest ‘mod de estimare a dimensiuni unui cireuit este suficient de bun. Echivalenjele ce definese cele trei forme ale distributivitiit au ta partea sting’ ‘oexpresie corespunzdtoare unui cireuit minimizat —_——— Exemplel 4.6 fn Figara 49 este dat reprezentacea eu cteite a eslor dout expres echivaiente sie rina fome de eximare a poncipah iba. Cle dos cc reliesek Sec inc ihm din gra 4.9 res dot port (ae dimensiones '§ = 4), pe edind cel din Figura 4.9 0 realizear cu tri por (are dimensiunes Urmeara o serie de prineipi care au drept principal efect minimizarea expresi ior booteene. Absorb ‘Atunci cand o variabilé apare de prea multe " si-sianuleze, micar paral, propria actiune. Alteori devine dominant inléturind efectal sltor variable. Aceste aspecte sunt Iuate in considerate de legile absorbtiei pe care le ‘enunjim Tn continuare: 1-0 expresie exist 0 sans ca 1 ata 4_ ALGEBRA LOGICA ated a(a+d)=0 Prima dintre formele absorbfiei este celebri ne din antichitate. Principiul terfului exclus, 0 +a = 1,2 fost folost pentra a se statua un lucru aparent evident: valoarela unei variabile poate & mumai adevétrat sau fas, oa treia posibilitate este exclus [Acestprincipia se adaugk celui al identifi gi al dbletnegatit ca una ce impune ds- limitin fundamental ale aborcirit de tipul algebrei logics. Prin algebra logick struc turle de cireuite mosteneseacste delimit care e vor transforma fa limit principale ale maginilor digitale’ Primele patru forme avo forma ce intitiv poate foarte uo timele dow ne ara in ce cont efecto une variable poste f anihlat de prezenja opresva a une alte variable, In ambele expres, a+ ab = si a(a +b) = a, cind 2 = I atunci “ast, co prezenja se, eesrea prin OR, iar end o = O nck poara AND, blocind ate ftotdeaunasecesl blu spre ise circuit Efeetul de’ minimizare al aplicirii acestor echivalente este foarte important, Primete pau forme permit eliminareadintun cteuit logic @unei por, iar vltimete dud ne ara cum pum elimina dou por dito schemé logic. ngeleass. Ul. Semiabsorbyia Semiabsorbjia are efecte mai pujin spectaculoase, Ne multumim gi eu mini- ‘mizatea pe care o fer, atunci end mai mult nu se poste. Formele sale sunt urmatoarele dou: atab=atb ala’ +0) = ab Jn prima forma varibita ase comport cuo “osrecare ingiduing rd aexcea, totus prin “polite”. tuned cfnd nv poate ea impune advarar-ul pe igre, f lsh aceastl posiilitate Iu. In cea dea dava foe ate edind nu poate ea impune fas ul pe isi, las8 secant posibitate fib Substitutia Ce se intémplt atunei cfind in locul varabilelor punem funcii de zero variable, ‘Gul gi I-ul? Se produce si cu aceastd ocazieo simplificare a expresiilor cu efecte asapra “ho Fon deavolte wore ogi ca mai male valor, aa numiele lege poivtents, Exit cir reocuparea penn a se ecnutri cireite care sh Tuneioneze e) mai mult de dou val logics. Spe exempl, pat foiosite volo: adda pos fs, 44 _ Formalzareaalgebrel lopice _ 13 rminimizati circvitelor, Funcyille logice binare se wansform, dupa cum urmeazs, dact tune dintre varabile este substitudra eu 0 constant: ato 260 oei=a’ Inspeetarea definiillor din Figura 4.2a valideazi direct echivelenfee anterioare. Atel, spre exemplu, pentru y = 0, n coloana fg vom gisi valorile pe care le ia variabilac. In continuste, este evident ci 1-ul obtureazk trecerea prin OR, 0-vl inchide ‘poarta AND, iar J-ul o deschide, Ukimele forme ale substiuyiei sunt o reflectare di fect a interpretirii XOR-ului ca inversor comandat ‘Substitujia contribuie si ea la minimizarea circuitelor logice, portile cu valori constante pe intrare find eliminate din circuit ar iegiea lor conectat la valori constante sav la variabile - Excluderea| Bfectul minimizant maxim fl putem objine atone cind este posiill apicarea rincipiulu exclueri. “Aluuismol” variable a este maxim in ocazile in care prinipiul poate f aplicat. Inference valoase ae, emul permite tecerea vari lub abt obnd (a+ ial +8) =5 Aplicareaprincipiuui exeluderi ne permite eliminerea din ctcut a dou AND- uri giun OR, sub prima sa forms, sau a dow’ OR-uri gi un AND, ina dova forms Ese intereant eX prima form a prinepivluiexeludeit are ta parteasting3 a echivalenjei expresia care descrie eiruitul selector cu o drept bit de select, Valorile selectae sunt egale ambele ub, Circuit lslecteaaé "pe B sau pe 0”, deci are isirea constant egal cu Yaloares Bib ‘Cea de dous fornl se poate demonstra aplictnd, pe rind: de dovt or princip- ial distribu, abwonya subsituia gi de dout or prineipiul absobgiei, dupa cum vurmeaz (0+ )(a! +0) = (a+ Hal + (0+ = ca! +a'b+ab+ bb = 0+ (ab +ab +) =ab+oab+b a@b+b=b. m4 : 4 ALGEBRA LOGICA Legile iui De Bforgan — {nce conti o pari neinversoare, AND sau OR, poate it veaminéte ersoare, AND sau OR, poate f inlocuité ce ana in. veo MAND st NOR? Ce soi eave piste cn Sipe ile negate? In ce condi exprrizea cu eonectivul sa poate f niocit cu exprimarea ce floseste conectivul 7? Sk incercim! ‘A spune of ‘este adevaraté propoxitia a sau propoztia ste echivalent cu a spane: nu este adevaat ck propozijia a nu este adevirat ji ese x 8 gi propozitia b nu este ‘Similar putem spune ci: este adevdrata propozitia a si propoeitia b este echivalent cu a spune: na este adevrat ed propocjia a mt este adevradt sau propos este adewira fe adewirad sau propozifa b mu (Cele dous echivalenge exprimate in limba) natural pot fi seri Geis deutchinaleg xrinate In ink) natral po sre gets form ie etb= (ll! aba (a +o) ‘Aceste forme se pot ugor transforma dupa cum urmeazk: a+ = (aby all = (a+b) Sinner atc eterna mi sh — coms Tari ‘Si presupunem ci tebuie 5X cealiaim un circuit entra fanctia Sad) =a +¥, © soluieposibité este aceca a folosiit unui circuit OR gi a dows NOR- ori. Bar met simpla estes aplicim teorema li De Morgan: m“ Fo,0 1 realizim cicuitl ev o poanté NAND, ‘44. Formalzarea algebrei logice us sted selout ’ ig 440. Structuta cireuitul selector, realizatl cu NAND-ue in vime aplicsi Mora. ‘Bsemplel 4.8 Fie circuit! selector, format din dout cireute AND gi un OR. Din considerente de e- aivare efiientds¢ itmpune ca sil resizim din cireite de acelagi tip. Dact, aplicim teorema lui De Morgan cicuitului selector din Figure 44, objinem: assy. fteoremei ui De selout = os! +9 CCircuitulasociatexpeesiel din partea dreept a echivaleneisnterioare se poate realiza cu tui pori NAND. Atenfle! Din punctl de vedere formal, dar sal realizar tehnologiee, tin ccuit NOT poate f asimilat ex 0 poarté NAND cu o singur& intrare, Putem spune fntfel charm reust 8 realizim cireutu selector flosind aceleagitipuri de circuit ele- entre, O antl de posiilitte nu va fi niiodatlnegljtd cu ocazi realizar conerete a cireuiteor loge Primul exempla de aplicare al legilor lui De Morgan a condus ta minimizarea cireutelor, cea de a dova aplicare a condus la uniformizarea circuitelor. Ambele efecte ‘int uilzate atunc cd trebuie s¥ realizim practic circuite asoeiate expresiilor Jogice. rincipiile algebrei logice sunt folosite In egalé misurk pentsu a minimiza si/sau aveformula convenabil, unor crterii de realizabilitate expresie Iogice. 4.4.2. Forme canonice ‘Se pune intrebarea dact principle pe care e-am enunfat anterior ne permit st dimsocotealé de toate funcfile logice, Vom arta in aceasté secjiune c& acest lucru este post, prezentind formele generale sub care poate fi reprezentatt orice Functie logic8 Extragerea functjel logice din tabelu! de adevir ‘Pomim de la observatia ci orice funcfie logic poate fi reprezentats sub forma ‘unui tabel de adevir, care defineste care sunt configuraiile binare de intrare (elmentele ‘mig | mis | mr | me a sm | rays | ms | mi mg | myo]. mo | mo Fig. 4.18 Tipuri de diagrame Veitch. alld Fig 4.22 Minimizareafoneilor pt sip? eu diggrame V-K Algoritmul de minimizare Evidentierea foarte clari'a adiacenfelor logice face diagramele V-K foarte wile ‘in procesul de minimizare a unei functi logice, prin care FND este redusi la o FD ce ccorespunde unvi circuit minimizat, de adincime minim’. Algoritmul de extragere a formei minimizate, pomind de la reprezentarea cu iagrame V-K, presupune urmatoarele etape: 1. evidentierea numiralui minim de suprefeje dreptunghlulare de arie maxima for mate dintr-n num de loca egal cu o puiere a lui 2 tn care este insetist valoarea logics 1 2. serietea produselor logice asoviatefiecSrei suprafeye evidentiate 3. sumarea logict a produselor. Cu ett suprafeele vor fi mai mari, cu atit produselelogice asociate for vor confine un nomar mai mic de variable. fnuradevir, o suprafagt mare nu se poate fncadra compet de prea multe ori fn efteojumitae a diagramei V-K. Cu et suprafetele vor f ‘moi pune, en att num de prodase ce se vor suma in final va fi mai mic. Exemplul 4.22 Fie cele dout funeti, deja ceprezentate prin diagrame V-K, pl sip, Vom aplice ecestor funcii procedeul de minimizare {ndliagrama funcjci pl se pot defini 4 suprafee de cite doud loca, conform reprezentit ‘in Figura 4.222, Suprafeele sunt éreptunghiulare, si sunt formate din 2 locai. Sub liniem faptl ef aceeas!locayie poate fi inclus8 in ma multe suprafee dae& comtibui la crestesea suprafe acestor, r 45. Minimizarea funcilor logice 138 Pentru sererea produselor logice asociate acestor supraeteidentiickm semi-diagramele Inca suprafaa este cuprins4 in Sntreyime, iar apo ralizim produsul logical varibilelor x caracterizeazi acele semidiagramme ‘iincepem cu suprafaja format din ocaile asociate mintermenilor m4 sizng Supratara prizonal din prtea speriosr a diegrame). Aceast suprafai aparine integral semidia- [Fame unde b= 1, cell tn care c = I sicele n cered = O. Es aparine paral suprafeje Unde a = O spatial suprafefel unde @ = 1, deci produsulasociat va lua valoarea 1 tun cind = 1 si aunci cnd a = 0, dei nu depinde de aceastl variabill. Expresia ‘produsuli va bo conform tuprafeelor i eve este suprafja integral inclus ‘Soprafaa formati din locale agociate mintermenilor mn $i my este inclus agramele la care ne vor refer, pe scurt, cu a’, 8 si c. Va evea deci asociat produsel ote. ‘Similar mai evidentiem produsul ocd, pentru suprafaja ce conjne pe ma gi pe mis, $1 ‘produsul off, pentru suprafaja cu me si my Remuité prin sumarea logic a celor patra prodse forma pe eae 0 cunoastem: be bed + a¥'d + ac'd. entra fncjiap2 vom fine cont de faptl cl rindu! do sus din diagram este adiacent cu ca d jos $1 vom defini o suprafaja formats si din locale tninermenitor ria gi my ‘Aceaslsupeafai est inclusiin semidiagramelea, cid’ contrbuind,astfl, a expres neil p2 eu produsul acd’. Cea de a doua suprafajl generearh produsul abe. Rezoltd forma cunoseuth: semidi- pl r=aed tale. (Cu cit suprafata este mai mare se poate ineadra complet in mai pugine semisuprafee, find astfel caracterizatk prin produse eu un numa mai mic de vasiabile bina. Minimizarea functor logice incomplet definite Aplicatie practice ne pun uneosi fh fafa unor situaii pe care teoria obigmuieste si le ignore. Una dintre acestesitoaii este aceea in care practic nu suntem interesafi de comportamental unui circuit logic pentru o pane dintre configuraile binare de in- trare. Funcfia utili a aplicatie este definiti in XC {0,1}". Astfel de situait apar ‘tunel end suntem siguri eX anumite configurafii binare nu se aplict niciodatt pe in ‘rare, sau nu se aplicl niciodatf atunci cand valoarea de pe iegireacircuitului comeazit pentru funcyionarea sistemului din care face parte, Pentru aceste configurafii de intrare nu conleadfl cum va reacstona lesivea circuitulvi, Vom denurni aceste comportamente “don'teare”. bertatea dobanditS prin existenja unor iegride tip don's care va trebui fructi- ficath pent a optimiza structura circuitului. La nivelul nostra de abordare, a optimiza {nseamnd a minimiza. Pentru iesitile de tip don’t care vom nota in diagrama V-K en seninul "=". Folosireaacesti semn indict faptul eX mintermenul respectiv poste fi vat in considerayie 137 136 4 ALGEBRA LOGICA f 45 Minimizaen functor tsi os, 8 a i aI =~ [oor [ont » ° b by — [= [soi [one an 4 4 4 1100 e110 | 0100 1 sd an ‘ior | oon Ww Y | we | a » 1 1 Ge iesrle don't care. b, Minimizarea ce folosesteiesiile nedefnite. sau m, jar acest fapt nu modifi cu nimic comportamentul wit al cicuitului. Com- portamentul pe iesire al cicuitului se va modifica, dar aceasta nu va afecta utilitatea cireuitului. Din moment de *-” poate lua crice valoare, acest seman va putea fi inclus {in definirea suprafefelor, dac& determin marirea suprafejei sresora, cu efecte pozitive irecte asupra minimiztril. Don's care-urile neluate in considerare vor actiona ca O-uri, iar cele incluse in suprafeje vor functiona ca Iu Exemphul 4.23 Fie un ciuit combinational care primeste pe intrare numere zecimale codifeate bina si \ucbuie si indice, prin esirea y = 1 faptle& numrulrecepionat este cuprins in interval [2,7]. Deoarece circuitol nu este dfinit dectt pentru numere zecimale odifcat bina, la | Intrare vor apare numai configuraile binare cuprnse ine OOOO, ce reprzinté nuntrulO, $1001 ee repreintd numéral 9. Configurailecuprinse fnie 1010 581111 nu apar, sau dock spar comportamentulciruitlui nu este semnificaiv pens sistem in care el este inclu. act ignorém defnitia paral funcje, 42%, din care extragem funcjia: y = ab -+a'e Dactjinem cont de don’t care-uri stunci vom folosi diagrama in Figure 4.23b, din care ‘extragem: y = b+. Funejia pe care am extraso corespunde unvi comportament core. spunzitor flocuir tutor den’ care-arlor co valoares Exemplul 4.24 ‘SX proiectim un convertor din binar zeciml fn binar zecimal cu exces tei (¥ezi 2.1.1). {La invrarea cirevitolui se apicd codul binar zecimal abed, lar Ssirea generea2d codul binar zecimal eu exces trei ABCD. Definitiacirovituli 0 vom face tot ev ajuterul tunel giagrame V-K. Diagrama notati cu A,B,C\D a fost completa tn flecarelocajie cu efit, a. Minimizareace n tne cont | D © ig. 424 Convertor bina 2ecimal fo binarzecimal eu exces tei, b al 2-0 [ras] m20|mz2] 20 riza|mya) me | rg ra] igs mas] rn rig|mas| mar | mas ras] ia rmae| mar ‘ng fern] ma | oan mae] r20| m5} m5 ‘mg |e] ma | m0 é 4 Fig. 4.26 Dingdama V-K pentru 5 variable bina. ck repreinté forma minimal, Cititorol este inviat sf Inceree gesitea unei solu mal bane. Diagrame V-K pentru mai mult de patru variabile ent funei ca mai mule variable se pot consti dngrame V-K, ev amenda- ental ek folosite lor este din cence mai pun efcient, in sensu cf expesivitatea co care se pot evdenfiaadiacengele scade pe misuré ce nurses! variabilelor crese. tn Figur 426 este eprezentathvarianta Kernaugh pentru func logice de ip. (06,046), Prima diagramé, notati cu @ = 1, confine mintermenti pentru care variabila aia valoarea 1, Cea dea doua diagram& confine mintermenii pentru a =: 0. Adiacenta dupa variabilele 6,4, e este stabil in cadrul fectrei diagrame de 16 locafi. Adiacenta dup& vatiabila ‘a presupune suprafeje identice in cele dou subdiagrame. Dow’ suprafefe identice, corect formate, in cele dout subdiagrame de 16 loca, formeazi o suprafayi tn diagrama de 5 variable, excluzdnd dependenja de variabila @ pentru produsul logic asociat. Bxemplul 4.25 Fie fanciadefnité prin Lurie din diagrama V-K de 5 varabilereprezenatt fn Figura 427, Definim un numie minim de suprafeye maxime avind in vedere si faptul ct este ila maxirizarea nemruli de suprafere idence tn cele doud subdlagrame, Rezul +m semiiagrama unde a = 1 suprafata ide, care va contibal a funcia nal cu produsl abde in somiiagrama unde a produul ate + supra ie th ambele semidiagrante, ceva contribu la fncyia final cu produsal ,deoarecesuprafaa be ese pind cu I-ur ifn semidiagrama entra a =O sin semidigrama pent (0 suprafaga be, care va contribu la foneya Anal cu r 45 Miniimizarea funeyilorlogice 141 0 4 ALGEBRA LosICK bie ve oh . ¢ yy] . mr al ey © cde! [cs e W ie i) ay wD d ‘ é Fig. 4.27 Exempla de funcje minimizat8 cw digedama V-K penlu 5 variabie, * suprafaa cde! or ambete semidiagrame, ce va contibu la funeja final. co produsut Ede’, deoarece suprateja ede! este plini ev Inur gi In semidiagrama penitu a = 0 s1dn semidiagrama pentrua = 2. Fon entrsiva Fla,be,dye) = abde + alte 4+ vet ede. "Aungem stniaasupea faptlu cam aes ea ms formeze osuprfel em, sino ma cum ac fst posi dupa exeril anteronre, penta eka semilngrame celal exis suprafiqa mara ident pozitonas, fap cea permis eliminatea dependent de ‘availa. Alegere spree mama cones la forma, mex Ho,b,ed,¢) = obde + alte + e+ adde + aide i neh adele Se Difcultatea ce apare in folosira efcieté a diagramelor V-K eu mai mult de 4 variabile este dati de maximizarea numtului de suprafeye identce in diagramele perale, Prezentarea pe care am facuto moduli de flosre al diagramelor WK na $a bazat pe algoritm rgurosi. Am cert maximizarea unui numir minim de supafeje (eo idenice in diagrame pagiale), dar na am indicat o eal riguroas, algortmicd bare acest Wru este posibil. fn continuare, metoda de minimizae se bazeazd pe vefrmalizate ce trebuiese corespunzitorantrenat. Diagrame eu funcfit inetuse _ Propunem fn sceasti sectiune 0 form simplificatk pentru diagramele. ce ‘eprezintd fonejii cu prea multe variabile, In locapile uni diagrame V-K am introdus if acum mumai fonctii de zero variabile: valorile O sau 1. Nimeni na ne va putea Jnpiedica 8 specificim veloarea mintermenior prin valrile unor foncfii de una sau rai multe vatiabile Astfel, 0 funcyie de F(@n—1 2n—25- +140) er variabile va putea fi reprezentaté print-o diagram& pentru n —m variable, fie aces- {8 0q~i0nm2y++yn—mety Valoarea mintermenilor find dati de funcjii de m vari- abile, de tipal| F™(Gm=t)-+ +590): Exemplul 4.26 Fre functia de 5 variabile din exemplol anterior Hasb,0dse) = abde + a'be + Ve cle (0 vom reprezenta prints diagram V-K de 4 veriaile In Figura 4.28, unde: produsula'be! permite introdueeres valor | in locale my sims produsul Ie permite inioducerea valor I tn locale mz, ma. mao simay produsul abde va introduce variable n suprafejacoresponzitoareprodusului abd, adic in locale ms sim, produsul cde! va introduce varabila in suprafala corespunzStoare produsulu cd, adic fn locale mms, me $i ms Adtiugarea unei valor saw unl vaciabile nro locafe se face prin sumare logick Fanctia (OR) co valoaes deja existent. nal in iecarelocaje se af&O logic. Caleulind expre- sille din locagile unde sau produs sum logice,rerulth diagrama V-K din Figura 4.28b. ‘Minimizarea prin dlagrame cu functii incluse rin introducerea funcfilor de una sau mai multe variabile in diagramele V-K se obfin reprezentiri mai compacte, dar regulile de extragere a formei minimale sunt ‘mai complexe gi in consecinff, mai greu de aplicat. Va trebui s& ne antrenin mai mult pentru a le aplica porformant. Vom prezenta doul reguli de extragere a functiilor logice din diagram, una mai simpl8, mai pugin efleient, si una mai faborioast care oferti o minimizare mai avansat. (prim forma, simplifcat a regulii de minimizare este urmitoarea: ‘+ se consider [-urile drept don't care-uri gi se formeazt suprafeje ce includ aceeasi funetie 1a 4_ ALGEBRA Lorca ‘Fig. 428 Exemplu dediagramt V-K cu funefincluse, a, Funcfe de Svarabilereprezentatdcy ‘odiagrama pentru fonci de 4 variable, cu fencii de o varsbid incluse, Forma simplificai # se consider funcile de una sau mai multe variabile ea fiind O-uri gi se formeaek suprafetele corespunzivoare I-urilor + se sumeazi logic produsele rezultate din cele dou etape. Expresia rezultaté in urma unei astfel de minimiziri va mai putea suporta, uneoti, min imizari elgebrice suplimentare. De multe ori forma este chiar minimalé. Simplitatea | regulii ne face s8 o aplic’m de multe ori, ssum&ndu-ne 0 solutie mai putin eficient ‘bjinuti mai rapid si cu mat pufind bataie de cap. Este 0 astfel de abordare neserioasi? Aparent, da. Dar, practica inginereascl ne Tnvafi ef o solujie corect% dati in timp wil este de preferat, uneori, uneia foarte performante, datl cu intfrziere. Deci, atentie la solutile ce se pot obfine rapid gi simpla! Exempla! 4,27 - Fic eiagcama din Figura 4.28b. Pentru prima etapl evidenjem dowd suprafefe, una ea valoarea dats de functiae si alts datd de funcyiae'. Acestea sunt abd si cd, din ele rented produit ade cd. Peru a dos ap evideniem te syprafee de 1x, in care rozulté wei produse distinct, Pundind irmpreund produsele rezultate din cele doud tape, objinem expres bile + cde! + bed + Ves a'be. Observim ef nv este fede peri nian cx exes extras in dagama VK de cinci variabile, 7 445. Mininizaresfunctilrlogice 143 ee Matodele de minimizare pe cate le expunem nu sunt nite algoritmi care con- dove ce ceritudine lao form’ minimal. Aplicarea lor presupune si o anumit& abi tt, sb forma capaci dea observa aspectecerezutl in prceptia “globala” a unei reprezi, Uneor o regu soisticatlaplicatl eu ailtate limita poate da rezutate fei pate deci oregulk mai pofin sofisticatéaplcatfcorect, SK mune alarmeze deci recall exerplulu anterior Mai aver un motiv penteu care nu inti In pond. PEt a demonetiza rolul rovsdeelor de minimizare, webuie sd spunem cf, in tehnologilecurente, unde putem frocura cide rilioane de pon, dimensiunea minima este ma putin important la seluledeuitclor eu clteva pom. $i nu webuie suit cé nu dimensiunea ese criteriul {el maiimportan jn sistemele mai, unde complexitatea este cea care ne cel mai mult de fare. De aseronea,instramentele software de care dispunem sunt din ce Mn ce ma serfecionate, ate net pot realiza automat minimize suficient de bune. Cunossterea teil de minimizare sunt wile th primo snd pentru a ne permite o imagine asupra crdinulai de miritne a ciruitelor pe care le folosim si pent a ne permite ea, uneori ‘erfckm abiltatea intstamentelor automate ca eae luerim. Na vom regia, tui, posibiltaea de a minimiza avanst sistemele noaste, Motiv pent care vom da i 0 alti regulé de extragere, Aceasta va oferi forme mi compact, dar va cere dibici suplimentare, Pentruao expune, avem nevoie deo defini ssplimentart Definifia 415 Un J tni-o diograma VK eu funcfitincluse se numeste dublu acoperit, dat a fost lat in consideratie, ca don't care, tn formarea a dow euprafeje, una find cnracterizatd prin complementul funcfiei ce o caracteriza pe cealalid. © Se poate defini acum © regul& mai Iborioas’, care, aplicard cu sufcienta abit- ‘uate, conduce la forma minimalé a functie logice. Bcapele eplicirilacestei reguli sunt urmtoaree: ‘ capresileincluse fn diagram se adue Ia forme care minimizea2ii numdrul de pro- dase distinctefoloste la descrierea functei logice cu ajutoral acestei diagrame se frmezzi pe rind suprafofe maxime pentru fiecare produs de varabile distinct ce itr in expresiile funcgilor incluse fn fiecare locale; se consider8 toate cele- lake produse egole cu 0, iar I-urile se pot folosi ca don’t care-uri pentru maxi rmizarea suprafejelor ce descriu functia ‘+ seconsideri suprafojele de I-uri, cu exceptia L-urlor dublu acoperite, considering produsele de variable ce formeazii funciile incluse ca fiind 0, iar I-urile dublu acoperite ca fiind don’t care-uri '» produsele ezutate inc oul etape anterioare se sumeaza logic. a 4 somes 4s. Minimizes onto oice us ted 2 . abde aed abde ate vfefel [1] » [var] ae 1 ede a dae! a [ae| a | [ee ew | v | ae 7 nD ¢ © a » @lte L . We at | a a a 4 a pafel ’ elo} efelel [- | » lee =| of | t [a -|- de) - | > [ae rit © c cc Ve e ve ©. 4. * Fig 4.30. Exemolu de minicar folosind diagram VK cn fone incluse. a Enon probie- tne b, Forma diagram ev nome minim de produse . Diagrama corespunzioare produsuhi e429 Bungle minnie head gram Vito tcf chen 1 Corngan tt iagrama coresponvttoareprodusuhi de. Diagrama corespunzitoare[-uior. simpli Cu regula complica conform princi exclude pe cae sci -im apicatgentr a ofeio frm mai com perk Rett cigrama din Figura 430b, unde numirl de produse distinct ares de de de) a ous (deg de). Consierind i Exempt 428 de = 09 ile dep don eave, ema agama din Fgura 40, dn care ' SScsgem pst she Cu noua regula in minte, observim c& I-ul din locatia mys este dublu acoperit, de tragem prsustl i Sopa od ce are alow e de supa @d'ee eves o Dee weak so ode 0 Lae it darter, rt diya in Fr 4208 nce tbe n cosine cng oon sped hak cee do’ cave, Reach naar fold expe spina 04 Grewal cat ‘ingrogatd in Figura 4,29a) nu trebuie luati in considerayie. incercuirile conforme celei de 2 dot eu sn epee nigra 298, Ve esa nn frm pe co Suture adage apse see + de =0 si de! = 0, rezultt diagrama din Figura 4.30e, din care se extrag progusele alte sib, rin sumacea algerie renul forma minimal cunoseuss, ln ‘Toate metodele anterior prezentate au un pronunfat caracter eutistic. Mini- rizarea algebricl sau cea bazati pe diagrame presupun reguli ce se cer aplicate cu abi itate, Pentru un numr mare de variabile nu ne puter antrena farordeauna cu o abilitate suflelentl. Penisw aceste cazuri se folosesc instrumente programate care folosese, pink xemplal 429 ‘Vom reprezenta functa din exemplul anterior sub variabile. Rezutt diagrama din Figura 4.302, Mi 8 unei diagrame V-K pent tei prin aplicarea rego simple eri exresin ‘ In numitedimensiun,algoritmibazai pe metoda Quine-MeClaskey. it pentru cimen- ~ hes er Vide ante bla. slant mai mari aeleaz tot la metode eristiee pentru a miimizatimpul de calcul. Dack Stim of expresin poate compacta soplimenta © vom face aplicted cea de «dows: | ‘iP in care ese gistéo soluie psims nu conteazd, atuni exist Itotdeaune o cae regu. Penta aceast vor “expands” Feta in loca mg svbformaz deo obfie. Da, aproape infotdeauns, tml coteaz8. Degeaba stim st facem un . Jueru dack nu ajungem la soluje ia timp util. Acesta este motivul pentru care wneori ne dadetde ‘moljumim si cu solust nurmai partial optimizate. 146 4_ ALGEBRA LOGICA PROBLEME, Problema 4.1 Demonsiraiechivolenfele: a ve (2d). 0 OY aed Problema 4.2 Araiagi cum se poate reatza un eiruit XOR fotosind 2 porti NAND. Froblema 3 Denonsay ler ehaene 08 he # (2 @0)(28¢ eile alba Probleme 4.4 Denonstay algebrie prncipiiul semiabsorbiet. Problema 4.5 Minimize fictia care caleuleasd depatirea capacitai de epairea capactéii de reprezentare (aver. Sow) cadre sunaritcidertbureilor ew sn in epecetrea pin complemen fo de Pralena 6 Eves mbna dn bel dete 5 cin oon PAT, a tn vata Mealy tp hi vanana Moore Foot tblle de ade da capitolul precedent, a ite ae alan Problema 4.7 Proiecey! cu porti NAND wr convertor din binar zeeimal in binarzecimal cu exces tei, Realizofi so solute cu poryi NOR. Problema 4 Proiecaj! wi conserior din cod binar th cod Gray pena peniranunere eprezen: tae pe 4 bij, Proieciogi um cirevt gi pentru conversiainvers din cod Gray hs cod bina, Problema Reproiecisirueara din Figura 417 pera af relzad minimal numa cw ctrewite NAND. Faceti acelasi lucru pentru circuite NOR. feat Problema 4.10 Minimize cn diagrame VK circuit bunuljitor pentru cuvint de 2 bit Problema 4.11 Proc eu NAND-r un circuit cn S ined pens feta de vor majorite Problema 4.12 Proiecat un sedzBor complet de! bit Problema 4.13 Proc! un cient sunaiorfecéetor complet de J bi, Inrarea suplimentara F va comanda suna cu valoarea Os diferena cu valoarea 1. legvea penir cary va f cea ca cea pentru borow, sennifcaya depinz?nd de valoaree tui Problema 14 Ftoid 4 sunatoore compete de wn Bt ds proecize un smator penn ccuvinie de 4 biti. ’ peur TESTE VERILOG VeriTest 4.1 Descrieyi comportamenta gi stmenural un sunator complet dein bit YeriTest 42 Descrieyi comportamental gi siructral wn cai re sumator seaetior pentru cuvinte de 4 bit. Folosii moduleteasifel definite pentru a deserie un sunator seedtor de 32 de bij 5 CIRCUITE LOGICE ELEMENTARE ‘Acest capitol va rispunde la intrebarea: cum sunt construte circutele logice? Cele tei capitole anterioare ne-au format o imagine general care si justifice necesitatea {alosiii poryilorlogice pentru realizarea sitemelor digitale. Este numai o opjiune posi- bila, dar este singura pentru care, in momentul de fet, dispunem de madele teoretice suficient elaborate gi de resurse tehnologice eficiente. ‘Vom prezenta in acest capitol circuitele logice ea structuri ce sezulté dintr-o potrivitit interconectarea unor comutatoare ce stdout stiri: tnchis si deschis. Prin sceste comutatoare poate circula (in starea fnchis) sau m (in starea deschis) un curent. Realizarea concretk a acestor comutatoare se poate face in mai multe feluri. Noi ne vom limita la realizarea comutatoarelor cu ajutorul tranzistoarelor MOS, deoarece tehnologiile curentefolosese acest dispozitiv. incuitele fundamentale vor fl prezentate in varianta de implementare ce {oloseste tehnologia CMOS (Complementary MOS). Problemele de realizare ale acestor circuite vor fi prezentate numai in misura fn care vor sprijni opfiuni ce trebuiese feute la nivelul proicetirit de sistem. in capitolele ce urmeazi yom mai reveni cu detalii de implementarecircuitistics pentru a preciza detalii specifice. ‘Capitolul contine 5 sectiuni fn care sunt studiate: ‘» Ranctite logice gi reselele de comutatoare, care se aflé Tnt-o coresponddenfi ce vafi folostf pentra a realiza circuitele logice + comutatoarele MOS ce reprezints varianta cea mai performanté pe care o oferit tehnologile actuate ca suport pentru circuitele logice « inyersorul CMOS, ca circuit tipic pentru tehnologia ce foloseste perechi MOS complementare formate dint-un tranzistor n-MOS si unul p-MOS + poarta de transmisie si circuitele neregenerative, ca variante de circuite sim- plificate, cu performange acceptable, folosibile in contexte foarte bine precizate ut rT 148 5_CIRCUITE LOGICE ELEMENTARE | ‘© circuitele logice CMOS, prin configuratiletpice. 5.1 FUNCTULE LOGICE SI RETELELE DE COMUTATOARE. Un circuit logic poate f prezentat ca orefea de comutatoare ideale gi este realizat cu refea de comutatoare reale. Vom incepe aceasté seefiume cu prezentarea relict in care se aflé 0 expresie logicd cu o rejea de comutatoare ideale. Vom prezenta apoi cum otf realizate cicuitele logice cu rele de comutatoare, tn doull variante: + ca circuite regenerative, ce genereazi | logic si0 logic conectine iesirea circuit ului la dou potengiale fixe: Vpp, pentra I, si potensialul de refering (masa), pentru 0 + cacircuite neregenerative, ce transmit la isire valorile logice, de | sau 0, pornind ‘de la valorilereceptionate de la iegrilealtor circuit. acd sunt folosite comutatoare de foarte bund calitate, distinct dintre cele dou tipari de cirenite este foarte mic’, 5.1.1 Comutatoare Valorile net distincte cu care opereazi reprezentisile binare, ale numerelor sau ale sttilor automatelor finite, impun structuri fice eu un comportament la fel de net calificabil. Circutele vor folosi componente ce pot fi comutate net fntre doul stiri, Vor ‘numi, pentra inceput, aceste componente cu numele de comutatoare. Definitia 5.1. Un comiutaior este wn triport care are doud terminale si o comanda care-i determind una din urmbroarele str: ‘= starea deschis (vezi Figura 5.1a), tn care nu este reatizas contact bute cele dou terminale ‘© stareatnchis (vezi Figura 5.1b), i care este realizat contact electric (scuricireuit) ure cele doua terminale, | Comanda poate actiona tn dowd feluri, determindnd dowd tipuri de comutatoare: ‘+ comurator ce este activat pe I (vezi Figura 5.1c), x sensul ctl este comandatt Inchiderea cu valoarea I si deschiderea cu 0 ‘© comuiator ce este actvat pe 0 (vezi Figura 5.14), in sensul ct-i este comandatd Inchiderea cu valoarea 0 si deschiderea cu 1. © Cle dou’ tipuri de comutatoare pot fi conectate in rejele, formnd comuiatoare complexe ce realizeaz8 comanda conexiunii fntre dou terminale, in conformitate cu valoarea de adevir a oricSrei expresi logice, +5._Functile logice si re 18 L Oo _o_ a ® « 4 a b ® ® ® » ° e t a a » 3 } ® ° —P__} » ja be @ ° ® 5 h Fig. $1 Comutatoae. a, Comutatar deschis, b. Comutator Snchis. c. Reprezentarea simbolic ‘a unui comutator inhis de valoarea 1 a comenaiiaplcate. d. Reprezeniaca simbolicd a unui ‘comutatorinchis de valoatea 0a comenai aplicte.e. Comutatoare conectaten sere, Cale este Inchish (teu semnabl)dack ab = I. f. Comutatoare conectate fn paralel. Cates este inchist Gack a += 1. Conectae sri-paralel, Cale este inchist (conexiunea este reali ‘olb-+e) = 1. h. Coneetare paralel-serie, Conexiunea este cealzatt pentru al +a'b Conectarea serie 2 comutatoarelor Incegem prezentarea modalitijilorelementare de conecare fn rejea a comuta- toarelor cu conectarea sere. In Figura $.te terminell din dreapta al unui comuttor, {nchis de comanda I, est conectat cu terminal din stanga al unui comutator de aelai tp. Rez tot orefea cu doud terminal (eminalal stnga al primuluicomwtator ster Jul dreapta al elie al doles care va f deschsi sa inchs in foncie de varie fu eare sunt comandate cele dout comutatoare, Din analiza sructurtrefleiobginute prin conectatea serie, rezul cd refaua Tchide crcitol dint terminale nomai dacs Eele douk comutatoare sunt ambele inchise gi deschide circuit dnte terminale dack Cel potin unl dnt comutatoare este deschs. ‘Daci notim biti cu care comandim cele doud comuttoare cua gb, atunci se poste serie ed circuital este inchis dct expesia logicd veloarea I. Vom asocia, deci, coneciarea serie, a douid comutatoare active pe 1, cu fanctia logic AND. ; Evident, dact unul dintre eomutatoare arf fost activat de semnalul 0 (activ pe 150 $_CIRCUITE LOGICE ELEMENTARS, (0) atuneifoneiaasocat eft ar fos o/b sau al Pent ambele comtatoae active 120, funjia logic asonat este NOR (a! = (a+b). ‘Dact vom conecta in serie mai mul de douk comutatoare, atuncirejeaua a {nchist frire teminalele rezultate conform unui AND cu mai multe intrisi, de variable | adevirate sau egale, tn funcjic de tipul comutatoralui pe care variabila- comand. Concluzioniim: coneciarea serie a dowd sau mai multe conwiatoare core. spunde unei'conectari, tnchis sau deschis, intre terminalele refelei objinute, exprimaug rin valearea 1 a unui produs logic. Conectarea paralel a comutatoarelor act am asociat conectiri serie produsul logic, vom vedea c& puter asocia suma logict conectirit paralel. Fie rejeaua din Figura 5.1f realizat prin conectarea Jn paralel a dou comutatoare active pe 1. Au fost conectate impreunt teminalele din ‘dreapta ale celor doutt comutatoare, formdnd terminalul din dreapta al rejelei. Termi- nalul sting al refelei a fost objinut conectind tmpreund terminalele din stinga ale celor ‘dou comutatoare. Pentru ca reeaua s inchid& circuitul dinte terminalele sale este su- ficient ca un singur comutator si fie Tnchis. Pentru ca rejeaua st deschida calea dint terminalele sale trebuie ca ambele comutatoare si fie deschise. Dac notim cu a si 6 semnalele binare cu care comandim cele dout comuta- toare, tunci refeaua inchide calea dintreterminalele sale dacl funcfia G (0,8) = a+b ia valoarea 1. Dack rejeaua este format din mai multe comutatoare conectate in paralel, atunci OR-ul ce descrie funcjia de inchidere asociata va avea un num&r mai mare de Wari, Dact unele comutatoare vor fi ative pe 0, atunci variable de comand& asociate vor interveni negate in suma algebrict asociats rele Concluzionim: conecrarea paralel a dowd! sau mai multe comutatoare core: spunde unei conectiri, tnckis sau deschis, ure erminalele rejelei objinute, exprimatt prin valoarea 1 a unei sume logice. Conectarea serie-paralel a comutatoarelor (Cele dout tipuri de conectti elementare, cea serie gi cea parle, por fi combi- nate pentns a obtne rejele cu un comportament mai complex. Vom fncepe cu conectarea serie-paralel. in Figura 5.1g un comutator activ pe 1 a fost conectat sere cu o rejea paralel format din dovd comutatoare active pe 1. Funcfialogicdasociatl acestelreele este: Hayb,e) = afb +0). Prin conectarea sere-paralel se objin rejele al elror comportament este descr prin produse de sume. Int-adevi, conectareaserie-paralel permite mulplicarea logic& a sumelor asocate refelelor paraleleconectate. ‘51 Fanci logic si reflete de comutstoare 1st ’ : : o_ [o) @ c b c [o) @ @ a e_| ig.52Exemplu de rjc serepaalel,reliatt pen fuefalgicd Hobed) = (a4 Biel +(b+e +4) Conehuzionim: coneciarea serie-paralel @ comuatoarelor genereanreele il efror comportament este descris de forme (normale) conjunctive realizare cu vari- abilele, negate sau nenegate,ce comands comuiatoarele Exemplul 5.1. Fie funcyia logics Hla,ed) = (a4 Dal +e)(b+e +4) Rejeaua de comutatowe ssociat8 este repezentat in Figure 5.2, Pentru c& reeaua si fe his trebuie ea eel pun ete un ermen st ia valoarealogic& 1 Dac forma este normald, atunci fiecare subrejea extinsé paralel este format dint-un numés de comutatoare egal cu numarul de variabile al funcfiei asociate reelei. Conectarea paralel-serie a comutatoarelor Ultima tip de eonectare este cel care interconecteazA in paralel rejele formate in comutatoare conectae in serie. O variant simpli este prezentatf in Figura 72h, unde fo rejee ab! este conectatt in paral cu o refea a’, formand o refea a cirei inchidere este dati de expresia: (0,8) = ab! + ab. Rejeausa inchide circuitu dine terminalele sale dack a = 1 sib = Osawa =Osib=0. CConectarea paralel-serie permite sumarea logic8 a produselor asociate fiecei subyejele realizate prin conectare serie. 5_CIRCUITE LOGICE ELEMENTARE T a b ° ° ® O° b e a Oo. @_.9 Fig. 5.3. Exempla de rejea parale-serie, pentru funsia logic f(a,6,0,d) = Bled! + al + ad. Coneluzionim: conectarea paralel-serie a comutatoarelor genereazd rejele al ‘ediror comportament este descris de forme (normale) disjunctive realizate cu variable, negate sau nenegate, ce comandét comulatoarele. Exemplul 5.2 Fic funetialogick complementard cell din exemplul anterior 9(0,0,¢d) = all tad + Bed. Rejeaua de comutatoare asoiatl este reprezenati in Figura 5.3. Pentru cd rejeaua si fie inchs trebuie ca cel putin cite un produs din cele tei 8 ia valoarea logict 1. Funciia 9(2,b,¢,d) 2 fost cbjnuthaplicdnd de dout ori legit lui De Morgan expresiei negate funcgei f(a, ®,¢,4) din exemplul anterior. Menjionim faptul cf atunei cind aceastl rejea Inchide circuit, cea din exempll anterior (Figura $.2) ldeschide gi invers. Funefia carneteristicd a unel refele de comutatoare Din punct de vedere abstract o refea de comutatoare eu dou’ terminale va fi ‘caracterizatl prin comutatoarele folosite gi modul fn care acestea sunt conectate. Pentru ‘ada o descriere coerentt circuitelor logice aver nevoie de precizia unor defi Definigia 5.2 0 rejea de comutatoare are dou tipuri de conexiunis + conexiuni rerminale tnare care se inckid sau se deschid contacte © inirdri de comandet care determina modul in care se tnchid sau se deschid con- tactele, © Definitia 53 0 rejea de conwiatoare diport este 0 rejea cu numai dowd conexiuni ter rminale, © 153 1 Fancfe logice gi retelele de comutatoare Rejelele pe care le-tm deseris pan acum au fost sumai rejele diport. Ne vor, {pil in continuare gi cu rejele multiport, care interconecteaz mai mult de dou puncte, Definitia 5.4 0 rejea omogenai de comuratoare este o vefea care conjine nuriai comuta- toare de acelogi tip, active pe 1 sau active pe 0. © Definitia $5 O rejea zero-activatd este 0 vefea omogend de comuratoare realizata cu comutatoare active pe 0. © - Definitia 5.6 O rejea unw-activald este o refea omogend de comutatoare realizatd cu comutatoare active pe J. © Definfia 5.7 Funcfia caracteristicd a unei refele diport este expresia care descrie ‘modul de coneciare al comutatoarelor. © ‘Dect pe intrrle de comandi ale unei reele biport se aplici confi guraile binare pentru care funcjia sa caracteristic8 ia valoarea 1, atunci contectulfntre cele dou termi ale se inchide. 5.1.2 Cireuite regenerative CCircuitele logice regenerative vor f construite folosind dou refele diport omo- ‘gene, cu funcfile earacteristice complementare. Efectul regenerati se va objine datort faptului eX reselele de comutatoare vor conectaiegirea circuitului a Vpp sau Ia mast, potentialele care semnificl valoarea logics | si, respectiv, valoarea logic’ 0. Une din rejele va realiza conectarea la I (Vpp) iar ceallalté va realiza conectares la 0 (mast). Definitia $8 Circuitul logic regenerativ pentru realizarea functiei f(2q—1y---40) este reprezentat in Figura 5.4, unde: * Rytescnuse) este © refea diport zero-acivald eu fimctia caracterstea S(tn—1,-++;29), conectaté intre Vpp gi iesire * Ryagimnte) SS 0 refea diport unueactivaid cu fincjia caracteristicd Hae 9), conectnt ine iepre yma Inivarite circuitului logic regeneratv sunt intrdrile de comanda ale rejelelor de comuta- toare, iar iesirea este dad de terminalele comune ale rejlelor de comutatoare. © Deoarece cele dout rejele au funcyiicaracterstice complementare, intotdeauna tuna dintre rejele este fnchisd si niciodati nu sunt inchise ambele, astfe] Tncat la iogire este conectat intotdeauna sau I (Vpp) sau 0 (potengiall de refering). Vom prezenta, in continuare, citcuitele regenerative tipice. ast 5_CIRCUITELOGICE ELEMENTARS'| F(t 20) Fig. 5.4 Circuitl logic regeneraiv al funcjei de n variable f'(eq_1,.-.,20) Generarea valotilor logice binare 0 $i 1 sau cireuitul NOT {La terminalelereelelor nu am conectat pln acum nici un fel de semnate, Sem- nalele au fost aplicate numal intritilor de comand. Rejelele nu au fost net foloste pentru a genera func logce. Vom foce primal pas constuind circuit cae genereazd fineite de zer0 varibie, f°, adicdvalorile loice 0 g 1. ‘Am convenit, deja, ca 1 i fe reprezentat prin potential! notat Vip iar Ost fe reprezentat prin potensall de refering (potentalul masei), Pentma a genera aceste ‘alori va trai sf ne conectim prin intermediul unor reele de comutatoare la acest ou potenyae. Aplicind definijiacitcuituli cegencratv, vom folosi cea mal simplt refea (for- ‘ati din dou comatatoare) si va rezulta structura veprezentatd in Figura 5.53, unde, ack o = 1, atunci punctul out este conectat la mas, iar dack a = 0, atunci out este conectat la Vp. Deci, pentru a = 1 este generat 0, iar pentru a = O este generat pe ier | Recunoastem comportamentulcircuitului NOT. Int-adevar, refeaua concetat la ‘mast are funcjiacaracteristicd|a, jar cea conectaga la Vpp are funcfia caracteristic& a!, | deci functia de transfer a circuitului este a’, conform definitiei unui circuit logie realizat | cu rejele de comutatoare. Circuitul NAND Pentru a realiza circuitul NAND, cu intririle @ si & vom realiza douit rejele (0(6+ a) = + pomim de la expresiacea mai adc imbricatl, suma logic c+ d, pentru care au fost conectate i paralel dows comutatoare comandste de $d ‘+ evansind spre “suprfea” exprese, pena predusu! loge dinteb si c+ d a fo ‘conectat un comtatr in sere.cuciporul pentru c +d, obyinut In pasul anterior * pen sua apn ja ont et cnet In pall on comtatr Vans obie de ese eau NOT, Pnt port ere acta poe Sentosa veal st sb forma ce no confine fei nga, 0 exce rman pt + penira produsu cc realizim un diport prin conectarea in sere a dou comutatoae active pe 2er0, comandate cue gid ‘+ pentru sums ce urmeazl vor conecta in paralel cu diportulrealizat anterior un co ‘utator comandat de varibileb + diportl deja objinut est conectat in sesie cu un eomutator comands dea! CCircuitulobginut are o adéncime de dou nivelusi: primul dat de jversor, Goilea de rest circuitulu, iar cel de al Atentie! Adancimea reall a unui circuit logic poate diferi foarte mult de cea pe: care © pune in evident& analiza expresiei logice asociate, Analiza expresiel circutului .1_Foncile lice si eelele de comotatoare 159 din exempul anterior ne indict 0 addncime de 3 nivelur. Cireitl real are numai dou, fe unde rezultk diferenta? Din modol fa care sunt comandate in paralel rejelele de cqmutatare. Opjiunea pe care am fScu-o pentru eveluares dimensivnii unui dovedeyte consistent, Dimensiunea strcturit unui circuit este proporsionalé cu numérel fal al nro, Pentru fecae intar, tnt circuit regenerator, 86 folosese dou eo- tmutatoare, unul acti pe zero i unul activ pe una. Unulcontrbuie a generarea pe ese ton bun, “eM mai autentic, 1 iar elsat (cel activ pe unu) la generarea unui bun 0. $.13 Circuite fri regenerare ack dorim s& simplificm structura circuitetor astfel inet sf putem realiza {uncfi logice eu mai pusine comutatoare, atunci va trebui si remungaim ta restriotia pe care ne-am impus-o de a genera la ies semnale logice care provin direct de ta Vpp ‘aut de a potenfialul de refering (mast). Va trebui sf acceptim ca prin rejeele de comus tatoare s& transfer si semmnale ca provin de la iesirea altor cireite logice $i nu numai direct de la nivelelelogice ferme oferite 6e Vpn $1 mast. In acest sens spunem c& vor. ‘constri circuite logice care mu asigur& regenerarea nivelelor logice, deoarece sunt for- rate din rejele de comutatoare care folosesc semmnale deja rezultate la fesirea ator rejele de comutatoare. Poarta de transmisie oa mai cunoseut struct de circuit neegeneatv este poarta de ransmisi, repreventat in Figura 7a. Semnall a este ransmis a egiea out Gack comutaorl este fees de valores 1 seal b, Penta ca epira 58 | ucbuie a att oct 93 fe 1 Deck, crea ealzat eu un singurcomtatorrelizeazi funcialogich AND. ‘Apareo mick problems, totsi! Ce seminal exist la egire tun ind posta este cick ack comuiatoru este deschis?Iegiea nu vaf O. Pe terminal de sire ‘Sr, potenaal va fh nedfinit. Un alt cet conecta eel punet va f “ber” ski defineasc nivel ogi. ‘No pers nu remarcim simpliatea cic. fn variants eu cieite regen- erstoare arf pesupus un NAND $m NOT, care prea serealza cu 6 comutaoar, te atve pevzero tet ative pe uni. Vornvede, fs realizrea poor deans misie comport prebleme suplimentare atunci cand vom folosi comtatoare rele, con- ‘ute ou tanzitoare MOS, Pore Ge ransmisie epevntoaeratv care, selects tind ebui, se poate dovedt fare ficient Circuital selector Propunem un circuit, pentru realizaree sub forma unui circuit neregenerator, care sf nu lase in “gol” terminalul de iegire. Fie acesta circutul selector, reprezentat fn Figura 5.7b, cu semnalul de selecte s si variabilele selectate a, pent s = 1, sib, ee 160 5 CIRCUITELOGICEELEMENTARE | 51 Fungi logic sree de common 16 «pens a = b = 1, ambele comutatoare sunt deschise, iestea out avnd wn ® potengil nedefnt 8 a] A it hh emele ® s ‘pceasti ultim& situage, a = 6 = 1, Iimiteazd performangele circuitului, fn schemel 8 put = ab Oo [ow ase e pot face 5 ale artifici, Le vom vedea le momenta pot. »—o & Circuite oarecare realizate cu porti de transmisie b a Sgestiaoferiti de cele tei circuit, anterior realizate ea structuri neregener- ° © ® asive, ne Incurajeaz® in tenttiva de a realza orice funeyie logit eu porile de trans- 1 ° ine convenabilintereonectae. Dacho poarté de tansmsie este un diport care permite ; iy a é ‘yansferul valorii unei variabile de la un terminal, asimilat cu o intraré, 1a ccelalalt ter- r—o™ ove ® out = acta +8) minal asimilat cu iesirea, atunci, orice diport poate fi folosit ca poarti de transmisie, ° a consideréndu-se fnchis contactu! pentra configuraille de comand& pentru care functia Fig. 5.7 Exemplede circuit neregenerative. Ategie la modul n eae se rezolv girl n gl! a. Cicuitol AND, s2u poarta de iransmisie. b, Cireitl selector. €. Cieuital XOR. a. Circuitul cx executhfuncyia f(a,6,¢) = d{o(e + )). pentru s = 0. Circuit este format din dou porti de transmisierealizate eu comoltatoare distincte, unul activ pe zero, pentru trecerea variablei b, gi altul, activ pe unu, pentra trecerea variable a. Potential la terminalul out va fi intotdeauna definit, deoarece tot ‘impul unul dintre comutatoare va fi fnchis, transfernd la iesire valoarea de pe una dintre intrivle selectate ‘Faptul cX eele dovll comutatoare nu sunt deschise niciodaté impreuni asiguri o funcjionare robusté. fn cazul in care comutatoarele ar fi fost un interval de timp deschise. simultan, s-ar fi creat 0 conexiune fntre cele dovs intriri cu efecte perturbatoare asupra ‘acuratefei semnalelor prelucrate. Este un pericol pe care trebuie si-1 avem fn vedere atunc cfind lucrim cu rele care nu sunt de tip diport. Circuitul XOR Circuitul XOR este un circuit care in varianta regenerativi consum& cel puin 12 comutatoare. in varianta neregenerativ& exist o solujie cu numai dow’ comotatosre active pe zero. Figura 5.7e prezint& aceatl variant de XOR. Funcjionarea scheme este urmatoarea: pentru a ambele comutatoare sunt deschise gi transmit la terminalul de iegire, out, valoarea 0 ‘© pentru a = Osi b = 1, comutatorul comandat de b este deschis (ru afecteazi terminalul de igre), iar comutatorul comandat de a este fnchis, transferdnd la ‘egire valoarea de pe intrareab, adic& 1 = pentru a = 1 si = 0, stuafa este simetticd gi la iesire aver tot valoarea 1 caracteristie ia valoarea 1 ee SSS Exemplul $.5 Fie diportl en funcja caracterstic8e(e +b). Dact pe unul din teminale se aplicdvari- bite d iar celal ese asimilat cv isiea, ou, stone ctcaitol ogi rezotat,reprezentat sn Figura 5.74, are funsia de transfer He Deficiens acesteiimplementiri este cea, aproage curent la acest tipuri de struct, @ iegii are este, uneori lsat i gol atunei end diporst este deschis) ard) = dlefa +), Le vom studia, Se pot imagina si rejele multiport folosite ca cireuite log folosind comutatoarereale, in seejunile ce urmeaz®, 5.1.4 Structuri mixte ‘Structuri neregeneratoare complexe se pot combina cu structuri regeneratoare simple, pentru a forma eircuite complexe cu iesiri bine definite. Dack o rejen de co- imutatoare complexa este folosité pentru a realiza o funejie logics, atunci semnalul de Ta terminal oud al refelei va fl afectata de multitudinea de comutatoare ce-t determing. entra a genera nivele logice ferme, terminalul de tip out este conectata la un circuit inversor regenerator. —_—_ ‘Exemplul 5.6 ‘Un bun exempla de streturd mist roprezintl circuit selector inversor. din Figura 5.8, realizat cu un selector neregeneraiv (Figura 5.7b) si un ciruitregeneratv de tip NOT. ‘Avantejal principal este dat de numéral mic de comutatoare folosite. © structor realizatt 162 5_CIRCUITE LOGICE ELEMENTAL out = (as + bs!) a7 Fig 5.8. Circuitl selector inversor. excuse cree enero ft cei a e+) sum nv ines eqn este pn cle env eal seal ere acestuia, objinut cu ajutorul NOT-uhui, se aplick pe imrarea d. Circuitul astfel realize, Preps coma oc cle rere se mith foarele MOS, ‘Circuitelelogice au fost construt, fn seura, dar dinamica istore a sistemelor igitale, folosind comutatoare realizate, pe rand, cu relee eletromagnetice, cu tuba electronice, cu tranzistoare bipolare. Tranzistoarele bipolare au mareat o bund perioadt 4e timp tetnologiasistemelor digitale. Dato egractersticilor de comutajie net pevioare, a dimensiuni gi puterii consumate mult mai mici,tranzistoarele MOS 5+ impus ca dispoztive elementare folosite pena ealizaea circuitelor digitale, fn ulti 1 deceniu 5 stabilizato tehnologie ce folosesteperechi de tranzistoare n-MOS gi p-MOS rept comutatoare activate pe un §i respect comudatoare activate pe zero. Accastt | tehnologie se numeste CMOS (Complementary MOS) 5 foloseste perechi de wanzt toare complementee, un n-MOS gi un p-MOS, pentru a reaiza refclele omogene de | ‘comutatoate necesarerealiziit unui circu logic ‘Yom prezenta pentru inceputtrazistol MOS fn clitte de comutator. Vor) = avea de a face eu un dispoztv frie real, eu limite inerente pe eare le presupune | trecerea de la un dispozitiv ideal - comutatorul - ja unul coneret - tranzistorul MOS. 4 “r~funcjionare: unvl pe axa de coordonate Vis (pentru Tp 443 Comutsoar realizae cu rancistonre MOS 163 5.2.1 Caracteristici electrice ale inversorului n-MOS ‘Tranzistoral MOS poate fi folosit In mai multe regimuri de functionare, Cel cae inereseazi, pentru realizarea circuitelorlogice, este regiml fn comutatie, care esspune dou sts net dsinete fn ear se poate afta tranaistorul: «+ saea de blocare, Ta care se comportf ca un comutator deschis, prezentdnd intre dena gi surat o rezistengS neglijabil de mare. «+ itarea de conducfie rezistiv in care aproximeazi foarte bine un comutator Inchis, prezentind, intre drenk si sursi o rezistenja sufucient de mic. Inspectarea caracterstcilorstatce ale unui tranzistor n-MOS, reprezentate in Fura 5.9, pune fn evidengé cele dout stiri ale tranzistorului. Dact tensiunea aplicatd fove gal $i sur, Vos, este mai mick decdt tensiunea de prag, Vr. stunci tranzistorul eae blocat,curental de dren’, Zp, este nul. ack Veg > Vp. atunci tranzistonul intrd in conduetie, punctul stv de fimionare se va afla undeva pe caractristica asociatl tensiunii Vs aplicat&. Pune~ tulde fancjionare va cotespunde curentului de drend care circuld Inte terminalele co- rmuarorulu, adicd fnire dren i sursi. Pentru un curent suficient de mic, punctal de fanefionare se poate afla in zona liniar8, rezistv’, a caracteristcilo, acolo unde Vos ‘ese sufcient de mied. (Un curent prea mare are drept consecing& scurtarea canalului de ‘onducje, advcind tranzistorol in regimul de saturajie - zona in care caracteristica este _qroape orizontalé.) Deci, fn regimul de comutajie tranzistoral MOS poate avea dou puncte de ) trun punct ce depinde ée potenfialol de polatizare, Vo, $i unul In zona liniard a caracteristicilorstetice de fanejionare,functie de tensiunea Vos, cu care este comandat comutatorl, side curentul ip pe care comutatoru! fl “Tnchide”. Cu edt Vag este mai mare, cu atat poz ‘nsegimnl liniar al caracteristcilor este mai sigur. De asemenea, cu cft curentul Ip pe ‘are comutatorl il suport este mai mic, eu att funefionarea ca rezistor a comutatorului Jehis este mai bine asigurat ‘Tranzistorul p-MOS are un comportament simetric, fn Figura 5.10 sunt ‘eprezentate ceracteristicile curent-tensiune pentru cele dout tipuri de tranzistoare MOS. ‘Laun tranzistor p-MOS, o tensiune negativ’ aplicati pe grill ff& de surst permite de~ schiderea. Comportamentul tranzistorului p- MOS este simetric, complementar In sensu ‘schimbind semnut tensiunilor se obfine un comportament identie. Aceasté propri- crate va putea fi folosit pentru a construi rejele de comutatoare omogene vnd-activate din tranzistoare n-MOS gi refele omogene zero-activate din tranzistoare p-MOS. Pentru a putea evidengia proprietiile de comutator ale tranzistorului MOS vom {a in considerafie cel mai simplu circuit realizabil cu un tranzistor n-MOS: inversoru + nMOS, reprezentat in Figura 72a, Circuitl este format dintr-un tranzistor n- MOS po- - fact a tensunea de alimentare Vpp prin intermediulrezstengsi Re, (ignorim, penta IS sa Sees rT 164 5 CIRCUITELOGICE ELEMENTARE | 5 Comutatoare reafizte cu trenzstoare MOS 16s soalza static initial, capacitatea de sareind Cz). Semidreapta ce poreste de pe axa regiunea regiunea Yps din punetal Vpp. i reprezentarea din Figura 5.9, eprezintS dreapts de sarcind Ip ohmic de saturatie Cele doul puncte de funcyionare fntre care comuth tranzistorul vor fz punctul | : - Vos > Vos = Ves = Vp Vr Vr Va Yoo Vos Fig. $9 Caracteristicie statie ale ranzstorului MOS. >. Fig $10 Caracteristicite staice Jp/Veg le ranzstorului MOS. Inbogijie (cu canal indus) b. Pentru canal peu inbogiire. Vr Pentru canal n cx Yop de pe #xa Vos, corespunatior hi Vj = 0, si panctul A de pe caracterisc de (ee corespunzitoaetensiunit de inrae Vi = Vo. Dack vom atibal potegialuli Wp < Vr valorea logic 0 gi potenfialului Vpp valosea logic 1, ate circuitl se va Mmporta ea un inversor logic (NOT), cu condiva.ca potenjialul Va < Vir pentru ai so fate abu valoneafogick 0. Tnt-adevtr, pene Vj < Vr (© pe intare), ote pin este Vop (I pe igre), far pent Vr = Vino (he intrare)iesirea este Vo = Va (Ope egies. ‘Cum putem asigura condijia ca V4 < Vi? Alegand pentru rezistorul Ry o ‘aloare cat mai mare, penta ca valoarea curentului prin tranzstor $8 fe ct mai micd entra o valoare mare a rezistenfe! rezistorului Ri, dreapta de sarcinf face un unghi my rare cu vericaa, intersectind caracterstica de iegire Ves = Vop mai “jos”, la un arent mai mic gi un potential proportional micgorat (Suntem in zona rezisivl a carac~ tristicifor, unde canalul are orezisteni de valare fixa atk de valoarea potenfalului de jntrate Vas). Pe scurt: pentru un cit mai bun (mic) 0 irebule ca Re, s% fie c0t mat mare. ‘Deoarece sarcina unui inversor int-un mediu circuitistic de acelagi tip (intrri de cireuit fy gla unor tranzistoae MOS), are o component vezistvi practic infiniti, valoarea lui 1 logic este egalt cu Vp, nefiind afectat de valoarea rezistenjel F- ‘Consumul de curent dela sursa de alimentare este dferit in cele dous sti. Dack tranzistorul este bloat (| pe iit), atunet Zp (Curental de la sursa de alimentare) este ‘ul, deoarece intirile circutelor din sarcind au rezstenga de inrare neghijabil de mare {obignuim si spunem ci este infiniti). Dact la esi aver 0, atune eieull prin Ry, un curent egal cu Yop =Va op = 25 ‘Valoaea consumli sade penta Ry, de valoae mate (chiar dac8 Va va seidea prin cresterea ui Ry). entra un 0 “bun” si un consum mic trebuie ca Ry, s8 fie cft mai mare, De ‘ce nu propunem, atunci, pentru valoarea Iui o mérime infin? Cu siguranti exist& si ‘motive peniru care valoarea rezistentei ui Rz nu poate creste oricat de mult, Le vor. afia analiznd comportamentul dinamic al eircuitului. Ingineria ne invayi c8, ori de e&te ori valoarea unui parametra pare s8 evolueze numai inti-un singur sens, cltte zero seu infnit, analiza noastré este incomplet®. Cu certitudine existé fenomene ignorate care stopeazd aceast tendin}i unidirectional. 5.2.2 Regimul de comutare al tranzistorului MOS ‘Analiza tranzitiei inversorului simply, realizat eu un tranzistor n-MOS, trebuie facut luind in consideratie gi componenta capacitiva, Cz, a sarcinii (analiza statick a presupus numai component rezistiva infinitd, deci neglijabili, a sarcini). Formele de r 166 5_CIRCUITE LOGICE ELEMENTARE | vy Von Voo | --- Ry t Yo | “ ne & ig. 5.11 Comutatorul n MOS, undi din Figura 5.11 ne aratécTncrcarea si descBrcarea capacitonulu Cy, se face dite Ja trangia H-L (din 1 fn 0) faga de tranzitia L-H (din On 1) = ‘Comutarea H-L presupune descircarea capacitoralui pin rezstenfa canalu, cate mi suport si curentul prin Ry. lard, Rar trebui s& fe eft mai mare!) Ream. imtim cd valoatea rezstenei canafull ext: Be \ 10,(Vos ~ Vr) unde: Z este lungimea canalului tranzistorului n-MOS, L istorului n-MOS, p= iy este mobilitates purtitorilor minoritari (electroni fa eazal n-MOS), Ves = Vio in eazu! circutalui nos- tru, iar Vp este tensiunes de prag, la care tranzistorul se deschide. Deci constanta de timp 2 procesului de descircare este: RR, tH = Ore. Woe ORT Re, Deoarece intrarea a comutat la valoarea maximd, Vpp, s¢ obfine o valoare R suficient de micd pentru a asigura un front bun tranzitiei H-L, ‘Comutarea L-H a iesirii se face in timpul de fnctircare al C1, prin Ry, Tranzis- torl se blocheazi ft tmp negli de mi, dar potent esr va. urea de la Vg la Vpp dupi o lege exponentiald cu constanta de timp: tut = RCr, {in sfargit,iatd motivul pentru care 7, nu poate lua o valoare oricdt de mare! Pentrv ca ‘ranzitia L-H a jegiri s& fle realizatAintr-un timp rezonabil, uebuie ca R, sé nu fie prea mare. Deci, Ry, ne face nuimai facurc&turi. Dack valoarea Tui este mii, atunei ereste cconsumul de la sursa de alimentare si afecteaza negativ valoarea nivelului de 0. Dacd 4.3,_lnversorul CMOS, 1st ste mare, atunci creste durata frontului pozitiv de la iesire. Din considerente staice irebvie i Be mare, iar din considerente dinamice trebaie s& fle mic, Cand tranzistorul tate in conducie, cu 0 rezistenjl drend-sursS mic’, valoarea lui Ry, trebuie 88 fie mare, ‘nd tranzistorul este blocat, cu rezisten infin intre dren si surs8, atunei Fy, tre- tie sk fie mic. Ce bine ar fidack Ry, a fi un fel de rezisteng nfzdrivand, care isi ‘ehimbai valoarea fh corelajie cu starea tranzistorului n-MOS! Vom vedea ef acest Iu fu este posibil atunc efind fn locul lui Ry, vor conecta un comutator care comutd fs nifezd (complementar, adic!) cu comotatorol reaizat prin tranzistoral n-MOS. 53 INVERSORUL CMOS ‘Acam, dup ce am vizut cum pot fi construite comutatoarele reale, putem shorda calea ce ne va conduce cltresistemele digitale, construing eficient ce! mai simph cireuit logic: circuitul NOT. ‘Dac in secfiunea anterioark am fi construit un inversor folosind un tranzistor pMOS, atunci ar fi rezultat necestatea unei rezistenfe de sarcing cu valoare variabild ‘conectat la un potenfal negati fap de potential surseitranzistorului. Din combinafia celor dows scheme rezultt eireuital din Figura ?2. Tranzistorul n-MOS este polarizat Ja Vpp prin intermodial rezistanfei dren’-surs& a trnzistorului p-MOS, iar tranzistorul ‘p-MOS este polarizat la potentialul masei (care este negatlv faf4 de Vpp unde este conec- {ath sursatranzistorului) prin intermediul rezistenfei drenf-sursf a tranzistorului nMOS. Ente indeplinita astfl ceringa evidengiat de analiza comportamentului static si dinamic al inversorului simpla realizat cu un n-MOS si Rp: polarizarea prin intermediut unei rezistne a céretvaloare este infinit8 cad tranzistorl este In conducfe gi este sufcient de mie atunci c&nd tranzistoral este blocat. 5.3.1 Comportamentul static al inversorului CMOS {hn Figura 5Saa fost repezentat module ealizre a unui circuit NOT-eu como tare nal scl pe un iar ata civ pe zero. Replica acest rut tno tehnologe te foloseqe tanasioate MOS -tehnologia CMOS - este reprezentatl tn Figura 5.12, te pens comotatorl ac pe unt a fost flosit un tanzstorn-MOS, iar ent cO- tmutatoral activ pe 2roa fost folosi un ranzistorp-MOS. (0 analzh superficial este, penru bicep, uil8. Dack pe inrare poten ese 0, ste arial n-MOS este bloat at el p-MOS exten conducie i zona teisvd a caaceistcilor Poteflul igi va i Vop, deci I log. Dack inaree tse la poteaflul Vpp (1 log) tun tranzistora MOS este In condcie Tn zona teisiv a arctic, iar anistoral p MOS est Doct. Potential ei ete 0 Dec, aie vom gi inttdeauna semmall loge eomplementa eel del ita. {ao analizé mai detaliaa va tebai st punem fn evden eapele in cre co- rutarea se prosuce. Vom considera ci sermall de a inare ee lent del valorea Ota valazea Vio aufilent de lent pentru a putea considera sistemul Tnirosuccesune 168 3 Vo Yoo loo ao Vou Yo Ws Qe 7% ws we Vou-t i} Vop/2 | Vop Ve Yop ~|¥rl ig. 8.12 Inversorl CMOS gi caracteristic s taticd de transfer de stiri evasistasionare, fn care aspectele dinamice si poatt fi neglijate. (Condensatorul Cr, care include toate efectele capacitive pe care iegirea le “vede”, va putea fi negiijat tn accasti analiz4, considerfindu-se cl variafia este att de lent inet poate fi “urmiriti” {de potentialul dela bornele sale) Vor distinge, in Figura 5.12, urmatoarele portuni ale cearacteristciistatice de wansfer: + penins 0 < Vj < Vr sranzistonal Qy este bce iar wanaistorl Qp este deschis, in zona rezstvi;iegirea are valoarea Vp, deoarece potenjialul pe Q, este ntl pentra & rezistenta se de sarcina este infinité (dreapta de sarcind se confunda cx abscisa) spent Vi < Vz < Vop/2 Qq este in zona de sturai iar Qy ete tn zone recistv;potengialllajegireincepe si cad pe masur ce reistenfa de canal a Iai Qy este fara li Qy seade pentru Vpp/2 < Vi < Vp — IVrl. Qn trece tn zona rezistiva, iar Qp in cea de saturajie; potentialul iegiri scade sub Vpp/2 deoarece reristenja canalului n devine mai mic dec a canalalutp + penttu Vip ~ [Vel < Vi < Vp. Qq este deschis in regiunes rezistiva, iar Qy este blocat; la iegite potential este Vpp. ‘Comutarea pe care o realizeazi iesirea circuitlui se va produce Tntre 2er0 volt si Vp ov o foarte bund acuratete. Potentilul Vx + 0, de la iegiea inversorului realizat orcurre Loaice ei 53, meso! CMOS 169 uum sigur tanzistor MOS, devine strict nul deoarecerezistenta de sarcin8 a n-MOS- ii deschis este infinit dreapta de sarcink este un Segment ce porneste orizontal din ie pe abscis intersectenzk caracterstca static in origine, Corentul consumat de la sursa de alimentare in cele dows stiri este nul, tat ena Vo = Oct pentru Vo = Vpp curentul absorb dela sur este nal deoarece in thee couuri cdte unl dinte tranzistore este blocat. Vom vedea cl un circuit CMOS SFpuoml curent numai in procesul de comutare. Dac& fn sarcina unui cireuit CMOS se afi conectate numa circuite CMOS, stunci sarcina pe care o “vede” iesirea este pr eapactivi, Rezistenfa de intrare foarte mare int-on circuit CMOS determing un Fomportament pur capacitiv al impedanfetsele de intrare. Din acest motiy, ezistenja (eri de zero a canalului tranzistorului MOS in conducfie nx afecteaz nivelele logice ta iegirea unui circuit logic CMOS. Astfel, din punet de vedere static, comutatonrele realizate cu tranzistoare MOS se comport foarte apropiat de cele ideale, Abateri mari ie la idealitate vor apare cu ocazia analizait comportamentului dinamic, 5.3.2. Comportamentul dinamic al inversorului CMOS “Majoritatea proceselor ce se cet optimizate fn proicctarea unui inversor CMOS, ‘unui circuit logie CMOS fm general, fin de conportamentul dinamic al acestor cireuit. ‘Tramztiaintre cele dou nivele logice este cea care tebuie realizaté fn conditit optime. Static circutul are un comportement ce aproximeazi foarte bine modelul ideal realizat cu comutatoare. Starea unui comutator MOS satisface bine coneiile de idealitate datorité comportamentalui pur capacitv a indi unui circuit MOS. Comurarea unui comutator ste cea care ne creazd probleme, Capscitijile de inrare, pe care este aplicat semnalul de Ja egirea unui circuit CMOS, sunt cele care determin& abaterea maxim de la ideaitate ‘a comutatoarelor MOS. ‘Cele dou’ probleme, corelate, ce apar in comportamentul dinamic al circuitelor CMOS sunt legate de aspectele temporale ale comutarii si de consumul de curent de ta sursa de alimentare. Comutarea inversorului CMOS ‘Analiza ealitativis pe care 0 facem comutirii inversorului CMOS pune in inj doud elape. O reprezentare graficd aproximativa este dati in Figura 5.13, (Pen- uu o analizé riguroask gi 0 reprezentare mai apropiatl de realitate trebuie folosit un program de simulare SPICE. Acest demers deplgeste cees ce aceast luerare Ist prop- tune.) ‘acd Ia intrarea unui circuit inversor CMOS se aplicd un semnal ideal de tipul celui din Figura 5.13, atunci in intervalul de timp dintre ¢ $i fa, pak efind semnalul ‘tinge potentialul de prag al ranzistorului n-MOS, iesirea ramfne nemodificati. Dupi ts, se declangeaz’ procesul de comutate al circuitulti. Valoarea tensiunii de iesire va ‘reste fntrziata de procesu! de inctrcare a condensstorului echivalent de sarcint, Cy, 170 5_ CIRCUITE LOGICE ELEMENTARG - vy Yoo" Voo—Wrl_- Vo Yoo Voo/2 Fig. 5.13 Comutarea inversoroui CMOS. ‘Acest condensator include efectele capacitive introduse de capacititile de fa iegirea in- versorului, de capacitjile dela intrile circutelor conectate la iesirea inversorului nos- tru, precum si de capacititile fay de masa, pe care le prezint& traseele de conectare. Intaraierea cu cae se declangeazkprocesul de comutare depinde de viteza cu care varia semnall de Inintare, Aceast vitez exe limita de capaciiledistibuite pecaleade la circuit driver laintrare gi de capabilitatea in curent adrveruui. Un driver putemic este caracterizat print resent de anal sufcient de mick penta a puter ‘modifica rapid sarcina elected din condensstoarele ce se opun varia potenfall, Vj. de a inrarea inversort ° Timpul comutare al potentialului, Vo, de iesire este dat de capacitatea Cr, si de ccurentul furnizat de iesirea inversorului pentre descircarea ei. Un front bun de erestere Ja intrare aduce rapid in zona rezistiva a caracteristicilor tranzistorul n-MOS, la o val care a rezistenfei de canal minim’ (corespunzatoare cu Vy = Vpp)- Cu eft rezistenga prin care se descare Cy, este mai micf, cu atit va fi mai mic timpul de tranzitie. O relajie matematicd, simpl& gi riguroas& fm acclasi timp, nu poate fi scrist deoarece in procesul de comutare rezistenga de la iesirea inversorului se modifc¥, odatt cu modi- ficerea potensalului iegirii, Caltaiv, putem concluziona c& un front la intrare bun $1 ovezistenta de canal mic asigurd premizele unel tranzitii fm timp minim pe o sarcini r '53_lnversorul MOS am capacitivl dat CComutarea in sens invers a iegiti, din 0h 1, se produce similar, datoritssime tei etcuitlod, Dar, nie! Simetra comutitiieiouitului nu este automat realzat fh ne amintim cl rezistenja de canal R depinde de mobilitateapurtitorlor minoritari, joel 52.2), Petra transistor n-MOS ea est i ar panna tanzistorl p-MOS este vip Dug cum se sti: Hn we Hp Rezuti cll wanzistoare eu aceeasi geometie pentru Vos > Vir dat rezstenga canal a um n-MOS este de aproximatv de dout ori mai mare decdt a unui trenzistor MOS. Penir & objnetimpi de comutare apropiai la cele doud trnzgi,trebuie ca tranzistoarele de tip p-MOS si fie de aproximativ de dou ori mai mare. Mobilittea foluior, ea porter! minortar, find mai mick de doud oi irgimea canalului trebuie 5 fede dou ori mai mare la p-MOS-uni penta a ssigura oezistenfa de canal egal in condi egal, cu n-MOS-urile Refinem fapul pentru un comportament dinamic ecilirat al NOT-uli, tranastorul n> MOS este de aproximativ 2 ori mai mic decat p-MOS-t ‘Dimensunea tranzstoarelor este importanth deoarece ea influenfeazd gi capec- itatea echivalenté a init inversorvlui, Dec, iarisi atenjie! Un transtor mare poate nett sau descirea rapid pe Cr, dar, la randul sf, poate fi comutat “mai Inet” datoritt capactiii mari pe care o prezints celui ce-] comand peel. Rezatsteconcludente nu se pot abjne deit prin simullriconcretc. Le nivelul proiectiri inal se pot lua numai mis cate si minimizeze, prin modalti euritice,valorile Cy. indzierea ca eare circuit rispunde semmalului de la intrare va fun efect cumulat al ftrzieri ou eare Tepe comutarea ies cieuial si al vitezei cu care acest proces se produce. Vom defini ntrzierea ca find intevalul de timp masurat inte ‘moment fn care semalul de la intare atinge valoaree Vpp/2 si momentul tn care iestea ange aceeasi vlosre, Inéraerea este definté pentru ambele tranzti ale est. ‘Yom nota 6b tpt intcierea cu care comutiegrea din H fo L, ca urmare a tanzgie LHfa inti, gi cu fu itirierea cu care com iesirea din L in H, ca urmare a conutari H-L intr In Figura 5.13 au fost marcai cei doi timpt de tnfrzere. Prin condiile de proectae se Tnearc& o e&t mai bund egalizare a celor doi timp de intrziere, Nu se reuseseniciodet o perfect eglizare, fapt pent care-i vom evidengia distinct si vom fine cont de faptu eX sunt distineyi tunci nd vor reaiza imterconetareacieuitelr Teale Consumul de curent al cireuitelor CMOS ‘Tehnologia CMOS este o tehnologie foarte “coreest din punctul de vedere al puterii consumate, Sistemele realizate fn aceast tehnologie nu consum& curent decit atunci cind prelucreacl, astfel Mncat consumul mediu de curent este proportional cu mm 5 CIRCUITE LOGICE ELEMENTARE, DD Von ~ Vr YN Vp} Vo —|Wrl Voo/2 Fig. 5.14 Consumul de curent al inversoruloi CMOS datorat conductie simultene a color dot swanzistoae. viteza de prelurare, Cu edt comtrile sunt mai freevente ev att erestevaloarea mesie a curentuui Zpp. Bristl dout procese fizie distinete care determin disiparea puterii pe durata comutiricrcuitulu: + conducfa temporard a ambelortranzistoare «+ inctrearea gi descttcarea capacitor din schema Fie un inversor CMOS. Prin aces circuit circulé curentintte Vpp si mas& numai stunei cdnd ambele tranaistosre se fit in conduetie. Analiza anterice icuté (vezi $3.1) 1 pus i evidensfaptul eB cele dout tranzistoare sunt ambele fa conducfe stun cénd potenisll de intrare ia valoci in intervalul [¥r, Von ~ Veil. {n Figura 5.14 este reprezentatt dependenjacurentului po (vezi Figura 2? de tensiunea de intrae Vj In aceeasi figura este reprezentati gi dependenga de timp a curentuli pp. Curent este nul, cu excepyiaintervalul de timp tn care semnalul de intrare, Vj, ccomut, int sens sau all, fn interval de tensiuni cuprins inte Vr gi Vop — [Vr Morala este imediatl: pentru ca valoarea medic a acestui curent, absorbit dela sursi de alimentare, si fie mici trebuie ca tranztile si fie rapide gi rare. fn acest caz pulsurile de curent vor avea un factor de umplere foarte mic. Pentru a face vn caleul estimativ, vom aproxima cu triunghiuri formele de und& care deseriu varia tn timp facestel componente a curentului prin tranzistoare, Valoarea medie a curentului pe 0 pericada, T, a semnalutui Vr, va f, cu notaile din Figura 5.14, urmftoarea: tptt, fet tn, Inca = TS toversonul CMOS Sub forma: 53_lnversorul CMOS, v3 vareaulta expresia puterii medi, datoratl acest efect, pentru fy Vopltef nde f este freeventa semnalului de intrare. Daci se echivaleazi curentul If timpul ty tanun efect capaciti, atunci se poate defini o capacitate echivalents acestui proces, caz Incare se poate serie: Prest = Voolmes Pruett = Ceont VBo!- ecole: «fectul conductiei temporare a celor dowd tranzistoare complemtentare de fermind 0 putere consumatd ce ereste proportional cu frecvenja gi cu patratud Pentru @ analiza efectele pur capacitive vom Iua in considerate o capacitte, Ccans€e include capecitatea din sarcina jnversorulu si capaciaileineme ale circuta- tit Aceast& capacitate este incitcatt la tranzliapoztiv a esi prin rezistenja canabului psieste descireatt la tranzgia negativé a iegisi prin rezstenfa canaului n. LaineSrcare {arena pe Czoigvariaza de la 2010 Ia CeeyaVpp. Energia absorb de Ia sursa de ali- tnentae fn acest proces este Cream, din care jumbtate este stocatl fh capaitor, iar Jindtate este disipaté pe rezistnt&, La tanzitia negativa, eu ocazia desetrctri capac iorului, energiastocat in acesta este disipatd pe reistenfa de descdrcate. Asti, la fo pereche de tranzigi, LH si HL, energia consumatd este Czai2¥p. Dac, invercorul reeepfioneazi la intrare un seminal cu freevenfa f,atunci puteres disipatl pe cele dou rezistenfe de canal va fi: Pr = CeonaVB of ‘deoarece inversorul va comuta Intro secunda LH gi HL de f ori. Rezulth ek: efectul medireri $!deseBretriicapacititor pe care inversorul le “vede” im Ccomutare determing o putere consumatl ce creste proportional cu freevenia {i cu ptratul tensiunit de alimentare. ‘Deoarece puterea consumati in regim static poate fi aproximati ca fiind nut, concluziontim: puferea consumati de circuitele CMOS creste proportional cu freeventa gi cu pitratul tensiunif de alimentare. ‘Morale se contureazi: va trebui si folosim sistemete ealizate cu cireute CMOS Ja freevenga minimié la care pot realiza in timp util foncjia pent care au fost poiectate. Derivim de aici faprl cX va rebuis8 definim cu mult abilitatearhitectura sstemelor digitale, astielincdt si nu ne bazim numai pe “Yona brut” a acestora, cerfndule si texecute 0 func dat prin seevenge foarte lungi de opera elementae realizate Ia 0 ficcvenfé foarte mare a cessului. Descompunerea wnei aplicait tm functii bine slese, m4 5_CIRCUITE LOGICE ELEMENTARR: astfel neat printr-un numtr rezonabil de mic de operafi simple s& patem realiza scopu) pentns care sistemul a fost proiectat, va permite reducerea pater ‘mobile”, alimentate de la bateri © a doua sursi de reducere a puterii consemate este tensiunea de alimentare, ‘Speranjele sunt.mai mari-dact ne’gindim la dependena pitratick din expresiile ante. rioare. Ration tehnologice limiteaz& accesul la aceasta sursi de reducere a puterii con- ssumate. Le vom pune in evidengi si cu ocazia discutirii, n sectiunea urmétoare, despre ‘marginea de 2gomat. 53.3 Marginea de zgomot rice circuit logic receptioneazt la inate, de regulf, un semnal de ta iegirea | alti circuit, peste care se suprapun efecte datorate comutirilor ce se produc in circuitele invecinate, fai de care conexiunea, pe care semnalul vine, nu se poate izola perfect, ‘Vom asimila toate aceste efecte suplimentare sub termenul de zgomot. Datorit zzomotului, semnalele, de, Vou, sau de 1, Vou, emise la iegiren nui Circuit logic, nu vor fi recepfionate ca atare la intrareaaltui circuit. Pentru ca 2gomotul care afecteazd aceste semnale si nu afecteze buna funcfionare a unui sistem digital Q-ul 41 ul dela iesirea unei porgi tebuie si difere de czea ce este considerat Osi Ia intrarea porilor logice realizate in aceeasi tehnologie. Vite O-ul de la intrares unei poryi logice, va tebui sk poatl fi mai mare decit cel mai mare Voz, pentru ca zgomotul suprapus si nu afectese semnificafia semmalului de 0 receptionat. De asemenea, Vir, I-ul de la intrarea nei porti logice, va trebui si poatd fi mai mic decat Vow din motive similare. Pentru a specifica toleranja circuitelor Ja zgomot a fost definittermenul de margine de zgomot (noise margin in englez8). Defintia $9 Marginea de zgomot pe zero, NMz, este dlferena dine cel mai mare sernal car laitrarea unui circuit logic este interpreat ca Ogi cel mai mare semnal pe care iesirea unvi circuit are semnifiapia de 0, adic: NM, = Vi ~ You rargnes de zgomot pe uns, NVMiy, este diferent dnte cel mai mic semnal care fa fepren unui cited logic ae semnifcaia de 1 cel mai mic smal pe care intraree toad eve mal interpreteact ca I adc: NMu = Vou ~ Vino Este evident cd, pentru ca circuitelelogice s& poatl funcjiona, marginile de 2go- ‘mot tebuie si fie nenegative, ideal (in condi’ de zgomot nul), gi strict pozitive pentru situafiile reale, Tar, de preferat, este ca marginile de zgomot si fie cat mai mari. De asemenea, diferente dintre cel mai mare seminal interpretat ca zero 0 ‘mai mic semnal imerpretat ca | la intrarea unei pori constitu o “zona de siguran 2] in cconsumate. De ce | dotim s8 reduucem puterea consumaté? ‘Simpla, pentru ck am c¥patat gusta sistemelor | 454 Poartade transmis si ciruitle neregenerative ws care semnalul na are nici o interpretare posibild. Pentru o bund functionace a sistemelor digale, ici un semnal nu trebuie s& se tncadreze in acest interval, Aceast diferent, tncare se adaugh cele douk margini de zgomot, formeazk diferenfa de potensal minim’ ‘nie I- gO-ul generat de iesirea unei poryi. Potenialul sursei de alimentaretrebuie si asigute el pun acest interval. Deci Vop 2 NMz + (Vin ~ Vit) + NM Inphi,valorile ce intel tn expresiaanterioa’,tebuiese corelate cv Vp tensile de rag ale tanzistoaelor MOS. Sunt evidente motivele penta care tensiunea de alimentar,asistemului Tn care folosim peti CMOS, nu poate fi miogoratd decat prin depsirea unordifcultitebno- logice foarte mari, Ne reamintim cd, din consierente de putere consumat, este de dort caensiunes de slimentaze, Vip, st fe c&t mai mics. Din considerente de acureteie 2 fancfionitii acest lucru nu este ugor de realizat. Conchiziontim cl: acuratefea fonefondrii este unul din factoritimportanti care limitenzi sciderea energiei con- sumate de circuitelelogice. $4 POARTA DE TRANSMISIE §I CIRCUITELE NEREGENERATIVE fn secjunea 5.1.3 am evientiato clas de cireuite, pe care lesa numitnere- severative. Principal caracterstic a acesortipri de ercute era simplitatea. Dack un Eicit regenera cereafolosiea a doul refele de comotatoare, una pent cuplare la Tioga ata pent cuplarea la 0 ogi, ciesiteleneregeneatve se pot reaiza cu @ sing reea de comotatone. Realzare comttoarlor eu tranzistoare MOS vi rgica tele probleme, dtoratefaptuti cd semnslul care tree prin comutator i semnalleare comand comutatorul sont de acceasi nar Circuit elementar pe care+propunem este poarta de ransmist, format ine- ue comustatoreare transfer frie terminalele sle un sernal logic, un O sau un 1 ature ‘nd semralul de comand& inchide combiatorl. Structara propust este repezentt& fn Figuas5e, unde a fost flositio pereche de tearzistoare mMOSip-MOS cx grille comandae fo aniferd. De ce dovt traaistoare? Pena cl Cy, tebuieuneori Incest irate descrcat. ‘Dac sermnalul de selecfe,s, est 0, stunei ambeletranzistoare sunt blocate inditerent de valorile povtve ale potenyalelor de la terminaele én ji out ale eomuta- tori. Dock» = 1, stune unl dine trnzstoare pote conduee © ack Cr trebuie descireat atunci in = 0 si tranzistorul n-MOS este deschis, scurcitcuitind la mas capacicorul de sarcin&; tranzistorul p-MOS este blocat eoarece intre grila si sursa Iu tensiunea este mul 176 5_ CIRCUITE LOGICE SLEMENTARE | is a « SS} 5 , Fig 5415. Poartade transmise. a, Sutra circuituhi.b. Simbelul logic. ‘+ daci Cj, webuie inctrcat, atunci in = 1 si wranzistorul p-MOS este deschis, asigurdnd prin rezstanja canalului si eurentul de incSreare pentru Cy tranz torul m-MOS este blocat pentru cl Ire sursa si grila Jui diferenga de potenyial este cul, Poarta de transmisie. ransmite prin rezistenfa de canal a unuia dintre trans toave semmatul de la ir la out sau de la out la in, Prin tranzistorul n-MOS se trans- ‘mite O-l, iar prin tranzistoral p-MOS se transmit I-u), Poarta nu are efect regenerator ddeorrece transmite un O sau un 1 generat Ia iegirea unei alte possi. Chiar dact nivelul stave al semnnalului nu este semnificatv afectat, datorta rezistenjelor mati ale intrilor Circuitelor Iogice, caracteristcile de comutare sunt afectate de mrirea constatnelor de timp din cauza rezistenfelor de canal prin care Cr, se incarcd sau descare3. Porjile de twansmisie prezints avantajul simplivii,plit cu prequl sclderii vitezei de funcjionare a sistemului In care sunt folosite, Principalul avantaj al portilor de transmisie este simplitatea. in unele cazuri soluyile oferite sunt perfect aeceptabile, mai ales atunci cfnd o simulare SPICE ofera rezultate aeveptabile pentra comportamentul dinamic. Exemplul 5.7 Fie cireuitu selector inversor din Figura 72, realizat cu dou port de transmis coneciate ‘a intrarea unui inversor. Functia de transfer este: (as + ba!) Variabileselectathexte transmis inversatl Ja josie, Citeuitul este religst eu tre perechi de tranzistoare com- plementare, “Vom vedea eX realizarea eu pomiregenestoare presupune flosirea a para prrechi de wanzistoare complementare ‘ebuie menjionat faptul 8 intrareaiversorului na rite nickodaté “in gr", in sensulc8 ‘poten iris inversorulu este dat fmotdeavna de valoarea potenjilulai uneia dine imrirAcest lucra este posbil dati faptuui ef cele dowk pon de tansmisie sunt ‘ommanlate in antifaz, astfel Inet, inotdeatna este deschs un canal de tranzistor MOS lire 0 sau elie |, fixdnd potenilul I intrareainversorulu. tenet Dac b = a'. atone circuit realizes2hfonctia XOR fntre varabiele a gi iar dacaa = U,atune! circuit realizeacdfunciia NXOR inure varabilele bis 55 Ciouitelogice CMOS. : 2 pas + iy Fig. 5.16- Sclectorinversoreealzat cu port de transmis sun inversor. onfiguraii de poryi de twansmisie Toseriate In mumar mare tsi glsese uneort splice nteresame, Penta ca intrziereasemnnalulu printr-un sr prea mare de port de transmisie si au fie prea mare, se recomand intercalarea eate unui circuit regenerator format din dou’ inverseare conectate Mn cascadd pentru a nu inversa semnalul (conform prineipiului dublei nega. 55 CIRCUITE LOGICE CMOS ‘Vorn putea construl circuits logice tn tehnologia CMOS folosind sugestia dats de ealizareacireuitelor cu dova rejele omogene de comutatoare, una zero-activata $i alta tn+activatl, conform reprezenttrit din Figura 5.4, Vor rezulta eireuste in care pentru fiecare intrate se foloseste © pereche de tranzistoare complementare, Un tranzistor n- (MOS, pentr a realiza comutatorul activ pe una, gi un tranzistor p-MOS pentru a realiza, comatatorul, corespunzitor, activ pe zero, Se justficd astfel modul in care am defini dimensiunea unui circuit logic, a find proportionald cu num de inti. Un inversor sre dimensiunea 1, iat un NAND sau un NOR cu efte dova inti vor avea, fecare, dimensiunea 2, dupa cum vor vedea fn sectiunea ce imediat urmeazi 5.5.1 Cireuite inversoare ‘Vom putea proiecta circuitele logice ipice, NAND-ul si NOR-ul, poming direct de la structura cu comutatoaze pe care am prezentat-o gi explicat-o anterior (veai Figura 5.5), Trecerea la structura concrets realizati cu comutatoare MOS va mai comporta Uncle discusii datorité parametrilor reali ai comutatoarelor. Poarta CMOS NAND fn Figura 5.17 este reprezentatt poarta NAND realizatd in tehnologie CMO! Dac cet pin wo dae anzistorcle MOS este dethi, tunel ie oe as r v8 5_CIRCUITE LOGICE ELEMENTARg, Yoo va me . 1 Jen ae JL_ | ig. 17 Circutal NAND realzat in ehnolopie CMOS Conform reprezentirii din Figura 54, citcuitul NAND este constiuit dinto eJea omogend unu-activati de comutatoare eare inchide cireuitul dintre terminale pentrt funcjia negats, adic& pentru ab, si una zero-activatd care conecteazA terminalele pentru functia de realizat, adicX (ab, dar pe care va trebui sf o scriem sub forma a! + ¥, ca variabilele negate pentru a asigura zero-activarea, Deci rejeaua unu-activatd este de ti AND (comutatoare conectate in serie), iar cea zero-activati este de tip OR (comutatoare cconectate in paralel) Regula de construcjie a unei posi NAND cu r intr este evident: rejeaua ‘unu-activa este formaté din n comutatoare n-MOS conectate in seri, ir reeava 2ero- activaté este formata din 1. comutatoare p-MOS conectate in paralel. Din considerente {ehnologice, vom vedea cf mumtrul neste limita. Poarta CMOS NOR Poarta NOR este realizati cu o structura simetrcd, in sensul c& topologia celor dou refele este inversati. In Figura 5.Se glsim prototipal, conceput cu comutatoare ideale, pentru poarta NOR reprezentati in Figure 5.18. Pornind de la simetria anterior sesizatl, putem spune eX: dack cel pugin unul dinte tranzistoarele n-MOS este deschis, atunci iesirea este “trast” in zero, iar dacd ambele tranzistoare p-MOS sunt deschise, atunci iegirea este “trast” la Vp. . Conform sceleingi reprezentirit din Figura 5.4, circuitul NOR este constituit dintr-o refea omogend unu-activati de comutatoare care Inchide circuitul dintre terminale pentra funcjia negat8, adict pentru a+b, si una zero-activatd care conecteaz& terminalele te logice CMOS a9 Von en | Qn |_fa+y" Q2_]| 2 Fig. £.18 Circuitul NOR realizat in tehnologie CMOS. eatra funcia de realiaat, dick (0 +b)’, dar pe care va tebui sf 0 seriem sub forma OV, cu varibilele negate pentru a asigure zero-acivares. Deci refeaua unu actvatd tte de tip OR (comutatoare conectate fn paralel), ier cea unu-activath este de ip AND {comutatonre conectae in serie) Regula de constructie a unei pori NOR cu n inti est, de asemenea, evident: rejeaua unuvactvats ese formati din m comutatoare 1-MOS conectete fo paralel, iar ‘efeaua zero-activati este formaté din n. comutatoare p-MOS conectate in serie. Din considerente tchnologice "simetrce", vor vedea cd momiral n este Himitat. Limitarea ‘ai mai putericd chia, din cauz& diferenelordintre cele dova tipari de comutatoare, Poarti CMOS oarecare Este util de sit cum se poate realiza un circuit CMOS pentru o functe Togied curecare, Regula a fost expust tn secfiunea 5.1.2 paragraful Cireite oarecare. Si {noepem cu un exemplu simplu Se Exemplul $8 Fie fang logiet (0,5) = (0-+ 1). Vom determin pnir ncept ani caas- terse ae cer dovt eel de comuatoare Peru rjeau un-scivak fone este f(a bye) tn fl de ransfomare deosrece nu confine foci negate Penta releua ero-actvat,fncjia caraters ee chir J. O vom transforma, petnt cimina ngajia, dup cum uimexzk Hla,bjo) = (aby ed (04 Bje. Faneja nu mai cere ales Ore 380 5_CIRCUITE LOGICE ELEMENTA! Yop [Iles on] Fig. 5.19 Circutul cu funeta ((a + 8)’ cealizat in tehnologie CMOS, 4 ‘bind o form’ perfect pentru o rejea 2ero-activatl deoarece toate variabilele intern epate Structura crcuitulo rezuhat este reprezentats In Figura 5.19, in cazul general lucrurile nu se potrivese asa de bine ca in exemplul anterior. ene Exemplul $9 i SX rnp ar eh ean un uk ent once f(b) = | (e+ Her Fane cacti a repllun sevens fear tie ead ee ta elma fn Be neg devine ro. Pansies -zero-activate este chiar f. Observim ci rezultd o topologie complementar’, care trebuie | atacali cu varabileleinversate, deoarecerejeauaun-ativatd are funcjacaracterstcd de. pendent de variabilele negate, fr functa caraetristick arefelei zero-ecivae are fungiia carscteristcd dependent de vribille nenegat, CCirciutl rezultt este formatdinte-un circuit logic afl de mare ea cel anterior, la care se” adaugd tre inversoare pentru varabilele a, 8 sie © soluie mai simpls ar f atunei st realizdm funcjia nega (funejia exemplul anterior) si seonectio un inversor pe igre. cc Se simte nevoia unei precizirialgoritmice. Pentru a ugura lucrurle vom folosi ji 0 teoremé suplimentard, 55 Cirevitelogice CMOS 181 Definitia 5.10 Duala unei expres formate cu conectivele AND si OR este o expresie bt care coneetivele se substinde unul celuilalt iar variabilele se neagd. © ee ‘Exemplul 5.10 Fic expresa: ab! +d. Duala ei este: (at + B)e Pn sh da ‘teorema 5.2 Conform teoremei dualititi, decd doud expresit algebrice sunt echiva- lente, atunci si dualele or sunt echivalente. © Demonstration cazu cel mai simp, dat a = 8 tune ese evident cst dualele sunt echivalene, o! = CCazutleimediat mai complexe sunt: f = ab sig = 0+. Aplicénd torema tui De Morgan ez: f= (aby a4! gf (a+b) 200 emonstrind jin acest cazteorema, pentru ci, conform define, dualele lif sig sunt {sigs iar uala AND- ali se dovedegte afi OR-ul varabilelor negate si duala OR-ulv, ‘AND -ulvariabilelor negate Pasul urmator Hl reprezint& expresiile de forma: f = a+ be si pentru care vom aplica de dov’ ori teorema Tui De Monga g=ab+e, J = (at be) = al be)’ =a +e) J = (cb +0 =o! + (+e) sal a 8E find evident ct teoréma este valabili si pentru sume de produse sau prods de sume. ‘Deoarece vom putea substitu oricind o expresie cu o variabis, iar dusla unct variabile este negata ei, teorema riméne valablé si pentrs expres in care sumele gi produsele sunt multiply imbricate. © “Teorema anterioar8 ne oferdo Cale foarte simplé de a serie expresia une’ funetih ce nu confine func binare negate, pornind de la functia negaté care nu confine, de ssemenca, functii binare negate. Astfel preparali, putem s& descriem algoritmul de roiectare a structrii unui circuit CMOS. Dac se di functa logic f(2q-1,.--,0) si se cere circuitul CMOS care 0 executt,atunci vom deduce circuit in etapele wrmatoare: 1, se aduce functia fla forma minimal, fea, folosind numai functit de tip AND si OR 182 5. CIRGUITE LOGICE ELEMENTARS 2 se numita cStevarabilé apar nenegate in expresia fo, cbjinuté anterior, $i dact | ‘iu sunt mai mult de jumatate, tunei se decide c& expres obfinutd este funei caractetistick « reeled zero-scivat, dack da, atunci te decide ase realiza func | negat, ce va fi ulterior negath se rea algoritmol de la punctul 1 cu ff loc def fonegia cardcterstic8 a refelei unu-sctivate ve fi inversul fanciei de realizat, adit | dala formei, fey. deja minimizaté a funcjci f | . se deseneazi circutulreaultat, eu isirea trecutdprint-un inversor dac din pasa al algoritmuloi sm revenit fn primal pas Exempla 5.11 ‘Se cere 28s proiccteze circuit! logic ca fan loge Hl 0ybyc,dye) = acd + bod + ace! + bee. fn prima cap aducem fury I forma: Jao (o+Weld+e) ‘in care numai una din Svaciabile este negatl, Decidem si realizim funcja inversatd, pe care 0 vorn nega cu un inversorsuplimentar. igoitmulu, relutnd-o dela fncepat pentru fonefia f’ = nef caracteristed a rejeei zero-actve va f [Ne intoarcem la prim punct al ‘9. Conform teoremei du gaa ed se, De aceast cat numrul de varisbile negate este majorite. uncjia caacteristici a refclei unu-acivate va fi dual funcyei go 96 = (at Bold +e). Folosind 5 perechi de tanzistoare complementae se construese cele doul rele omo- ene de comutatoare care formeses cireitl principal. Se mai adawgi un inversor, ents arabia , gi un inversor pentru sire. ° 5.5.2. Timpii de propagare si comutare Circuitele CMOS au o proprictatespecificd: comportamentul dinamic este pat cernic infivenjat ce tipo! functiei execotate, Deoarece tipulfunctiei determint configurayia refelelor de comutatoare prin care condensatoarele din schemt se ficarcl sausedescarct, Limpit de totiziere si de comutare ai citcuitelor logice’sunt putemic dependent de fancfia logic’. Mai mult, timpii asociaji comutarilor depind si de configuratia binara oncreti dela intrarea circuitul 455. Circuit lopice CMOS 183 Fie, spre exemplu, un circuit NOR cu doul intriri (Figura 5.18). lesirea comut {nero dact, cel pujin o intrare comuta in 1. Dar timpal de comutare este difert fn eazal camutisl une! intr fap de cazul tn care ambele comuti fn L. Dacd la intrare a comut ja 1 sib rimfne fn 0, atunci Cy, de la iesirea circutului se va desearca prin rezistenta canalulvi Quy intr-un timp carecare. Dact ambele inti comutl in 1, atunci se deschid ambele tranzistoare n-MOS si Or, se va descirca de doui ori mai repede prin rezistenqa a ‘out canale conectatc in paralel. Pe de alt pare, inclirearea capacitorvlui Cy se va face, ‘mmai cand @ 0, prin rezstenjele conectate in serie ale celor dou’ tranzistoare pMOS. Sunt create toate premizele ca timpul de crestere In iegre sf fie mai mare decit tal de cAdere. Daca tranaistoarele au arii egale, atunci, datoritt mobilitiii purtitorilor ainoritari dieriti,raportul dintre frontul cresc&tor gi cel mai mic front negativ va fi in jar de 8; situafe greu de tolerat tn apicatii concrete, ‘Valoarea rezstenjelor prin care capacitoarele parazite se ncarc& sau se descarci ‘éepinzind mult de forma rejeletor de cometatoare, va tebui si jinem cont, in opfiunile pecare le facem pentru realizarea concreti a porylor, de forma concreté Ia care aducem ‘eapesile funcfiilor pe care docim si le reaizim. NAND/NOR ‘Teoretic, penne realizares unui circuit logic, putem folsi cu egal Indreptire yori NAND sau posi NOR. Care dintre variate este de prefer, din punctul de vedere sleomponamentlu demic? ‘Sk considers cazultranzistoarelor n-MOS gi p-MOS realiate cu dimensiuni agile, Peru poarta NOR cu dou ini am viau e raprtal maxim inte rezistentale de descircae side increare al eapactoarelo este mai mare de 8, Peru poarta NAND ood iti, incircarea cea mai lent se poate face printrun eanal de tp, ir cen ‘ai rap prin dou canale de tip pconectate In parle, Descrearea se face prin dovk caale de tpn sernte. Dect, report inte frontl ereseior cel mai mie $i fatal Aeseresctor ete in jur de 172. CConsatim c diferenta din cele dui frnturi este mai micd i cazal NAND- i. Yom prefer, or de cite or vom putea elege, NAND-urile Ms focul NOReurior. fn asst co, doc dorim si frome, atnciraportu dint aia maxims gi cea minima sou anzistor va fi minim, Raporl minim va implice so eapacitate de intra minim Discuia se realizezk similar pentru pore cu mai mt int Fan-in si Fan-out Poni logice sunt caracterizatecantitati prin numérul de interi gi prin numéral invirilor la care oiesire se poate conecta, Defnigia 5.11. Numim fan-in numetrul de intr al unel porjélogice. © 184 Definigia 5.12 Numim fan-out munidrul inirdrilor pe care le poate comanda 0 poarta logicd. © Fan-insil este puternic legat de fan-out in cazul tehnologiei CMOS. Un fan- in mare presupune ea una dine rejelele de comutatoare st fie format dintr-un numir mare de comotatoare conectate sexe, Avest fapt implici o rezistenpl mare de ineSreare sau desclrcare a capacitiyii de sarcin’, Pentru o funcfionare rezonabil& va trebui ca tn sarcina unei por cu fan-in mare s& conectim un numar mic de intrdri pentru ca valoarea Cy, shnu fe prea mare. Astfel, fan-out-ul va mic, Deci un fon-in mare implic& limitarea fan-out-ului, ‘Com se poate rezolva acest conflict intre fan-in si fan-out? inlocuind, atunci nd se poate, o poarté cu fan-in-ul mare eu 0 poartt ce executl functia neget, urmatd de un inversor, Funcjia negati va avea tot un fan-in mare, dar in sarcina ei se va afla rnumai o singurd intrare, fan-out-ul va fi minim, egal cu unv. Fan-out-l intregului circuit va celal inversorului de iegise, ce are un fan-in minim. Inversoral de iegire va thot gi descirca capacitorul Cr, prin edte un singur canal MOS. 5.5.3 XOR-ul intr-o varianti nestandard Atunei cénd se caut micsorarea dimensiunii circuituli se mai fac unele conce- sii fermitajii cu care se genereazi semnalele logice de iesre. Apar astfel unele structuri nestandard, utile pentru arezolva probleme strict particular. Vorn da un asfel de exem- plu, atipic, pentru a ilustra posibilitatea unor astfel de eievite Fie strania structurd din Figura 5.20. S¥ vedem cum rescjioneaz pentru cele patru configuraii binare ce ise pot eplica pe cele dows intr. Pentra ab = 00, structura cu perechea de tranzistoare complementare este polar- izatt invers, iar poart de transmisie este deschisé transferind Ia iesirea valoarea lui a, adie’ 0. Pentru ab = O1, poarta de transmisie este fochisd iar structura de tranzistoare ‘complementare este polarizaté normal (funcfioneaz& ca un circuit NOT), iegirea lund valoarea inversului lui a, adicd 1, Pentru ab = 10, structuracu perechea de tranzistoare complementare este polar- ‘att invers, iar poarta de transmisie este deschist transferind la iegirea valoarea lui a, adick 1 Pentru ab = 11, poarta de transmisie este Tachisd jar structura de tranzistoare ‘complementate este polatizatl normal, iesirea luind valoarea inversulul Jui a, adic& 0. lesirea circuitului ia valoarea 0 pentraintririidentice si valoarea | pentra inti diferte. Deci funcja realizata este XOR, ‘Sibiciunea acestei varianté de XOR consti in faptul eX se bazeazl pe O-usi si J-ui ce provin de la iegiea altor circute. Un circuit tn varianta standard ar descirca la ‘mast sau ar fncirea de la Vip capacitorul Cy din sarcin8, Comportantentul dinamic al 3_CIRCUITE LOGICE ELEMENTARE © r 55. Circuit logiee CMOS 185 * i° b 3 — 3 Qn 1 b Fig. 5.20 Circoitol XOR realizatin tehnologie CMOS. acestei variante de XOR depinde prea mult ce comporiamentut dinamic al circultelor ce _genereazal semnalele a sib. Dar, avantajul principal il reprezintd dimensiunea redust a solufiei. Circuitul este realizat cu numai tei perechi de tranzistoare complementare. Cu circuite standard se objin solusi cu cinet perechi de tranzistoare complementare (ctiorul este invitat 38 le slternstivl depigiti de evolutia functional, structuralé gi tehnologic& ++ extensii in sisteme digitale fundamentate de aspecte ale reguli de compoziie + bucla in sistemele digitale, ca principal mecanism prin care fnt-un sistem dig- ital apar si se dezvolti mecanisme autonome sau procese de segregare prin care complexitatea aparenti scade ‘* clasificarea sub forma de ordine a sistenielor digitale, tn care acestea sunt lasificate tn funcfie de numtral de bucle conyinute, 6.1 COMBINATIONAL / SECVENTIAL: O ALTERNATIVA DEPASITA Actuate dist secvenjiale trebuie fundamental reconsideratA datoritS prea multor fapte ce au determi ‘nat evolufii speetaculoase in domenilul sistemelor digitale. De la poarta simpli, despre ‘care am vorbit, si pnd a structura procesoarelor cu care sunt construite calculatoarele ppe care le folosim, a ctror complexitate o binuim, pare normnal sl existe 0 ierarhie struc- tural corelati cu una functionald, care si se desfagoare pe mai mult de doud nivele. Este evident c& poarta este un circuit combinational, dar este parci prea simplist s& spunem despre un procesor cf este mumai un cireuit secvengial, Pentru a pomi 0 discujie mai nuanjati, vom da o nowt definigie, mai pre- cist, cireuitelor combinajionale, definijie din care va rezultaimediat si cea a circuitelor secvengiale, Definitia 6.1 O conexiume de rip bucla, sau simplu: o bucl®, este o conexiune care apare fnur-o refea, cu intrvile 2q1,-..,-0, formatd din portle Py-,...,Fo ct iesirle Om=1y+++ 300, in care, pentru cel putin una dintre cele 2" configurayié binare de intrare, Snot +o, una Sau mai multe lesiri 0, ia sau tau valoarea 0, sau 0. 0 Exemplul 6.1 Fie reeaua de 5 por din Figura 6.1. Pentru 229 = 00,01, 10 iegtle 04,...00 ale Portlor P,...,.Pp iu valor fn mulyimea (0,1). Dar, pene 2129 = 11, aparsitwaile 02 = 03 fog = 04. Deci, peste iesitleo» $i og se Tnchid bucle Definifia 6.2. Un circuit combinational este o rejea de poryi th care nu se tnchide nici 0 ucla. 0 jie, simpli, dintre ciewitele combinajionale si cireuitele aliernatvs dep it Fig, 6. Bxemplu de reja de port fn care sa nchiso bul. Definitia 63 Un circuit secvenfial este 0 refea de porti in care se trehide cel putin ucltt 0 4 Din cele deja diseutate, funejia unui automa fnit se manifesti ea un proces “| secventot de generare tn itmul impus de fiecvenfa ceasului. Tot ceasul, prin frontl “| séu activ determing tncdrearea unui registra cu datele de pe inrarea sa, pe care, ate, fe memareazi pind la urmicorul front acti. Ambele cifeuite, automatul si registul, SF par cireuite secventiale, prin fapta e8-si modifick starea comuténd cu fiontul activ al ~~ eas. Totus, nu puter sk nu obseevim faptul cé inte simpa foncte de memorare a regis gi foneia de generare a unel seovenfe, a automatuui fini, exist o diferenyé fancjonalé important. Ar fi prea simplists& spunem nurai c& ambele sunt eieuite secvenfale, ex toate cl acest cra este adevarat. epial ci un calelator este o masind ce functioneaza secvengil este crieui ev- ident, tir din simpla vizualizare a procedurit secvenjiale de autoniilizare a unei astfel de masini Ia punerea sub tensivne, peocedurh pafial vizualizatt pe sistemul de afigs, Orica i este usor st accept cS automatuldescris Ta Exemplol 3.1 este o masink ~secvengil, dri este in egal misurd evident c8 un ealeulator este, din punct de vedere funciona, elt mai malt ‘Cum explickm diferenya dinte un registu si un automat, sau diferenga dintre un automat si ur calculator? Toate sunt maginisecvenyale, dara spune numa att este, evden prea pujn, Va uebui st evidenjiem o claificare suplimentard, mat nuanatd deci, deja wadtionaladistincye combinationalsecvensial. ‘Na vai vorba numai deo clasficae. O simpli clasficare nu ar meta un efort }-. geosebit Dar, mecanismul care va fundsmentaclasifcarea, bucla, va explica totodata diferente frcionae sd complesitare.Atunci cénd o nou buei nu va introduce posi- biting fanionalesuplimentar, ea va ofritenici de reducere a complet w 6_ SISTEME Loaicg 6.2 EXTENSII iN SISTEMELE DIGITALE Tnterconectarea unor structuri siniple gi.mict pentru a forma sisteme mari gi ‘complexe se poate realiza prin reguli simple, ce pomese de la regula de compocitie (vei: CCaseta 10.1 din capitolul al 10-Iea) gi se pot reduce la trei modalitgi elementare de ‘+ extensia serie, prin care se realizeaz’ compunerea funcillor a doul subsisieme ‘= extensia paralel, prin care se declangeazii un proces de executie paraleli functilor realizate de dout sau mai multe subsisteme apare ca o limitare a eelor ce pot fi prelueréte in paralel, atunci cénd viteza de executie este importants, sau ca o posibilitate a celor ce pot fi compute, atunci = cfnd este urmérit minimizarea strucuri ‘Menyiontim dint-u itele aceleagi clase de functi, iceput c& extensile pastreazi sistmeul in care 6.2.1 Extensia serie ‘Vom incepe cu prezentarea extensei serie, Aceastt extensie corespunde mocan- jsmula de compunerea a funefilor unui scans exer rebut sblnem ef prin aplcarea I sistem m poate cpt valenfefunconale suplimentae fai de cele ae sstemelor compuse, Definijia 64 Fie: $1 = (XayVish), $= (Xa ¥osJ2) dus siseme digitale definite prin mulimile: X {01}, Ke ={0.0", X2= (0,1), Yo 0.1)" sifimesile de transfer: AM on, fa X9 Me. Extensia serie este realizata prin coneetarea iegirit Y, la intrarea Xz (veei Figura 6.2). Nout sistem, extins, este: = (%,¥i 2) unde functa de transfer est FE Ye m. 71.1 Decodificatoare ‘Una din cele mai simple intebari pe care ne-o putem pune referitor la un semnal seeeptionat la intrarea unui circut este urmatoarea: ce valoave are seranalul recepfionat? ‘Rispunsul este dat prin operatia de decodificare, iar circuitul ce o efectueazst pentru ‘configura binare de n biti se numeste decodificaor de n bifi, DCD. Decodificatoral elementar Pentru cel mai simplu semnal, cel de un bit, rfspunsul la decodificare poate fi iu, 1, sau Zero, 0, (sa, altfel exprimat, adevtrarul sau falsul). Schema bloc a acestui cut este reprezenaté in Figura 7.Le, unde valoarea de pe unica intrare, 29, poate fi indian pe cele dout ies, "aloarea I aplcatd inti, Vor num acest circuit decodificator elementar, DCDE. “| fej yp este actvatf, adic ia valoarea logick 1, numal dackintrarea are valoarea ogc 0. Evident, isirca y va fi activa dac pe intrane este aplicat semnalul 1. ‘v0 $i vi folosite pentru a semmnaliza valoarea 0 si respectiv ‘Structura inter minimal a DCDE (Figura 7.1a) este format din cel mai sim irenite programabile ca suport tipic pentru realizarea cét mai flexibilé a cl cuitelor complexe . « teorema lui Spira care ne permite si transformim un circuit de mare adéncime ‘mtr-unal de adincime logaritmic ‘= produsul Sx D folosibil la evaluarea performanjelor nei solutii, produs eu ‘evolutie nefavorabil’ fn cazul fn care nu reusim s8 ne temperim “vitezomania”. gi erat logis imersaral Aceats prim’ schem peru DCDE ate 0 deficient de _Féneipu: intr mu sunt "zoate™ dels Mat cla exprimat, mum! de intr pe fare “ede” circuit evpla a aceast intaredepinde de fan-out isnt yx. Pen- tua evita acest eect nepicut, al defn incomplete a parametilorercuitlu, vom tuga la nare un cuit inversor euro de buffer Campon). Rezo ciruitl din Fgura7th cae previ circuit ce comands intarea 2 incSearea electric a nei Sngure ino In nul circuit, inversoru suplmenter ae numa un rol ele: asigunt ‘conectarea eficient4 in sistem a DCDE oferind efectiv o singura intrare circuitelor ce-1 _— inversoare a DCDE se objine, simplu, interschimband iesirile. Schema Boca DCDE inversor este prezettl In Figora 7.1 7.1 CIRCUITE DE UZ GENERAL, O prim’ categorie a circuitelor simple o constituie cele care au 0 utiitate gen- cerali. Ele vor fi folosite la asamblarea flexibilt a celorlalte circuit, simple sau complexe, {in structuri concrete asociate aplicatilor. Astfel: 204 __7_CIRCUTTE COMBINATIONALE SISTEME DE ORDINUL ZERO - $0.0 mo zo ' tL vo L L a woe “| pepe * “pepe «|e In s lela Fig. 7.1 Decodifcstorlelementae, DCDE, «Schema conexiunilor unui DCDE, &. DCDE eu igi 7/Modeiul comportanental al DODE: module DODE(in, outO, outt); input in; output outd, oust; Heoutinos at assign out = assign outi endnodule ‘{Modelvr structural al DCE; module DCDE(in, outO, outi); Anput inj output out0, outt; vire wl; NOT NOTA (in, wt); woT WoT2(w1, outt) ; foutd = wt endnodule ‘Structura intern a DCDE. b, DCDE cu buffer, “7a Cireuite de wz general 1: be ” PoDwn| [7 "te Ypnt a) did oO . u Yea DCD p np n, | nal vo Bante 0 Fig. 7.2. Definijiarecursivl a unui decodificatorcu nintrri (DCDq). Aceasth figurteste pare Jmegrantl din Definijia 72. Decodificatoral cu n intrart Care este regula care ne permite st trecem de la DDE la DGD»? Putem defini un decodificator cu oricdt de multe incr, entra configura binare codificate pe ici biti? Decodificarea se dovedeste af functe simpla si rispunsurte sunt afirma- tive, Vor reusi si definim DCD, in functie ée DCD: $i vom fine coat de faptul ek DCD, este DCDE. In aceast defnise gi alele in care va fi cazul, numdirl n este ‘oputere alu 2, Aceastlrestitie simplific8 abordara sim viciazi esenja ezutatelor ‘Vor defini pentru tnceput Funes de decodifcare in cazu} general in care avern ‘inte, Urmeazl apoi definirea struturiicircuitalui ceo realizeaz. Definitia 7.1. Decodificarorul de n biti, DOD, este un circuit combinapional eu intrarca 1, codifcatdl prin bill 2.15.20, $iegirea M, codificand prin Dif yuyu Uo tne? m= 2", Fiecare iesire se activeazd pentru 0 configurajie binarli de insrare distineta, astfel ed fimctia numerical execuiatd este M = 2%, dac N si M sunt interpretare ca rumere Tutregi pocttivie. © Remarcim in definitie anterior existenta unei interpretiri numerice a funcgiei logice de decodifcare, Decodificatorul exponentiazi atunei ciné configurailebinare de 207 206__7 CIRCUITECOMBINATIONALE _SISTEME DE ORDINUL ZERO- so. | 7.41_Ciscitede vz general Tiiodelal structural at DOD? “ nodule DCD2(in0, int, out, outt, out2, out3); input ind, int; output out0, outt, out2, out3; v0 im v2 Fig: 7.3 Decodificatoral cu dous inti, DOD. lus 4a bomele sale sunt interpretate numeric. Aceasti “dualitate” logic - numeric va insoi sprospe toate circuitele simple realizate cu port logice. Este un reflex al unor “doalitiii” Similare, evidenfiate chiar la nivelul porfior logice elementare (vezi secjiunea 4.2). Definitia 7.2 Siructra unui DODs este recurs defnitl folosind dowd DODayn si 2 porsi ANDy interconectate conform reprezentirii dix Figura 7.2. ce este parte a defintiei. DCD, este un DCDE neinversor (Figura 7.1e). © Evident fecae din cele dout decodificatoare eu n/2 ines se va strvctura con- form accleasi reguli. Procesl continu pind se ajunge la folosivea DCDE. Dimen- siunea defnitiei pentru DCD, este independent de valorea lin si este constanid deoarecefolosepte un numa constant de simbolur alfanumerice si reprezenttri grafce ‘ealicate pe © suprafaié constanad. Rezaltk o compleitate constant (ve2i 1.4) penta DCD... Deci funeia de decodificare este funciesimpld. Putem mentaliza, manipula, proiecta,realiza, testa si itresine un DCD avand orice dimensiune permisé de tehnologi- ile microclecuonice curente Daca se partculaizeact definitia DOD, pentru un m dat, ce se cere specifica, atunci dimensiunea defini va aparine la O(log n),deoazese numéal trebuie speci. feat cu un sir de Lg, n simbolur. Vom ignora i coninuare aceasta observatic deoarec se refer lao paricularizare concret in care logan are o valoare negijbil, in situa reale, fpf de restul constant al definiic. es Exemplal 7.1 ‘Si facem o primi exemplificare coneretk pentru DCDs, In Figura 73 au fost foloste dou DCDE 3i 4 poqi AN'D,, Fiecare poars deecieazd o configure binard dstints. ‘Atuneicind smbele DCDE semnalesz3 pe intririle lor 0, prima poartd,coneciat a iegirile 4e tipo ale ambelor DCDE, va indica, acsivindvsigirea,c8 ry fxg sunt ambele zero, 129 = 00. A dova poartd este conectati I iesiea yo a DCDE ce receptioneazd 2) $1 |a iesirea yy a DCDE ce receptioneaz3 zo, semnalind prezena Ie intrae & conf gure] binareO1. Similar se poate expica fancfionarea unmatoarelr ies wire ¥00, 01, ¥10, v11; DODE DODEO(in0, wOO, w01); BODE DODEL(ini,, wi, wit, MAND WANDO(wOO, 10, out0); HAND HANDY (WO, w10, owt MAND WAND2(wOO, wit, out); MAND ANDS(w01, wit, out’); endmodule YeriBox 7.2| Evaluarea DCD, Evaluarea eanttativaaorictrl sistem logie combinational, SLC, cu n inte se ‘va face prin determinarea ordinului de mrime al urmatorilor parametr: + dimensiunea, $s1¢(n), 2 find namrultuturorintslor in porte din care este constritcrcuitul, exprimat uneori numai ca ondin de mirime ‘© adincimes, Dszc(n), ca find numérul maxim de por prin care se propaga cel tin unl dntre semnalele de le intrare pnd la cel pusino ieire + complexitates, Cszo(n), ca dimensiune a definijei circutului Gin eare pot fi in- ‘luse gi fguri); vom pune fn evident, de regu diferenga dintre 0 complexitate {in O(1) (asocieta circuitelor simple) i una in O((n)) (esociatécircuitelor com- plex) + far-out-ul, Pszc(n), 8 find fan-out-ul maxim int-un punct al circuitulu, tune ‘nd acesta este semnificativ in comportara diferitelor variante Pentru DCD, din Figura 7.2 rezultl urmstoarea evaluare. Spco(n) = 2x2"+2Spc0(n/2) = 2x2"+2(2x2"7+28nen(n/4) 6002") iat Spco(l) = Speve = 2. Dpco\n} = 1+ Spep(n/2) = 1+ (1+ Spev{(n/4) + € Ollog n) ix Depe(l) = Docos = 2. ~ Eacoln) € Olt) decarece dimensianea defite(dimensianea text gi di- rmensiunea descresii simbolice ce permite caleulatorului s& reprezinte desenul asociat) tu depinde den. 208 __7_CIRCUITE COMBINATIONALE D> te im Fig. 74 DOD, cu adincime constant, Ne putem declara destul de maljumiji de evaluarea circuitului, Nu putem pretinde o dimensiune sub O(2") deoarece circuitul are acest ordin pentru numiirul de iegiri care este de 2". Trebuie s& accepttm micar un circuit distinct pentru fiecare isir. Adincimea logaritmic& nu ne Ingrijoreazs, cu toate c& am fi fost ncdntati de o adncime ‘constant. Complexitates constant cerificd simplitatea circuitului, deci capacitaten de 2 fi proiectat si realizat la dimensuni oricat de mari De asemenea, putem si ne declarim multumifi si cu fan-outul asociat acestei solujii: Focn(n) € O{n'/?), DCD, cu adaincime constanti (DCDC,) Multeapicai cer timpi de decodificare foarte mici, iar dacd'm nu este foarte ‘mare, atunei proictani sunt cispui si plitease, prin cesterea dimensiuni evita. Jui, prejl penta cresterea vitezsi. Un DCDq cu adincime constant (sl notim eu DCDC,) se poate construj pornind de la decodificatorul anterior definit. Fie DCD, din Figura 72. Fiecae din cele doui DCDyjp find realizat prin scelasiprocedeu ate pe isiri por de aelasitip. Dac aplicim regula de asocatvtat, tune! ukimele dout nivele deport AN Da se vor putea compacta intr-umalsingur eal U2" porfi AN'Ds (pena c& (6)(ed) = abed, esta circuitahi find format din 4 circulte de tip DCD... Aplicim acest procedeu pink cfind obtinem 2" poryi AND, gi 1 DCDgjy = DCD; = DCDE. Exemplul 7.2 . ‘orn exempifica eu un CDs. Citcuitul dela care pornim este format din 2 =8 port ANDs, un DCD; = DODE gi un DODz (cu struoturareprezentath in Figura 73) Aplicénd regula anterior expust, AND-urie de la ieire"se asociaz4" cu cele dela eyes DGDs § formeazk 8 por de tip AND, (veri Figura 7.4), Rezulté un DCDCy format in3 DEDE $i 2° port cu 3 inter. SISTEME DE ORDINUL ZERO - 50-0" Jal_Ciceute de vz general 209 Evaluarea in cazul general ne arati cl: Spveno(n) =n x 2" +n x Spcpe € O(n2") Docvo(n) = 1+ Docoe = 3 € O(L). ‘ocbservati importants (ce se va repeta constant in analiza relate dntre$ si D):viteza circuit a crescut de O(log n) ort pe-cdnd dimensunea lula erescut de O(n) ori. Produsul S' x D a erescut prin sciderea mirimii D. Este pre plait de cei ce se gribese, Cresterea mai accentuatta dimensiunilodatl eu cresterea vitezei de Iueru poste fi prvi ea o "tax de urgenj pt de ce ce doreseo exceufe rapid, ‘Cregterea vitezei de propaga la este a vaiafilor inti va f paral diminuatd {ge un fan-out sibsanjal ereseut. Pentru aceast variantk Foepe(n) € Of), deoarece “| fecare iesire a DCDE este conectatS la intrarea a ./2 AND-uri. O solutie de compromis Dacé fn structura reprezentaté in Figura 7.2 se tnloculese cele dows DODy/2 prin dows DCDOy/y atunci se obfine un DCD cu ordinul de marime al dimensiunii acslagi cu al DCD, $i cu ordinul de misime al ad8ncimil acelasi cu al DCDOs, Se ‘combi astfel avantajele celor dovk tipuri de structuri. Soluyia mixt& pe care 0 prop- nem, DODM, va fi caracterizath prin: Socou(n) = 2x2"+28peno(n/2) = 2x2" +2(n/2x2"??+(n/2)Speve) € O12") Docpu(n) = 1+ Docoe(n/2) =2+ Docos € O(1) Focom(n) = n¥? € O(n"), f Ordinele de matime abyinate sunt minimale. Ne putem declare muti tem trece interior a descrerea urmatorelorcieuite.Inteadevir, un decedor ef lent ese 0 premiz8 pentru ralizareaefcienté a tturorfuncilor cel presupun, iar -proape oat cicuitee din acest capitol il folosese tn structure for intern 4 71.2 Demultiplexoare Intereonectarea comandati in sistemele digitale este asigurati prin doud foncti [- complementare: demuliplexarea si multiplexarea. Prima presopune distibuirea coman- ‘atta semnalelor, iar cea de a doua asigurésclectarea comandati a unor configuayilor ‘inare. Comanda este asiguratt de coduri de selecye de m biti. Astfel se distribuic ‘su seleteazd pe 2° canale. Ambele funcjiuni se bazeazi pe decodificare, deoarece ea ‘ste necesar peniru a afta (a decodifica) mai int “unde” este distribuit sau “cine” este “F selectat, Peru iceput ne vom ocupa de cicuitul de demuliplexare (MUX), 210__7_CIRCUITE COMBINATIONALE _SISTEME DE ORDINUL ZERO- $0.4, a ens : w se Unt —-leo pmuxe EB vow « TT % wh Fig, 7 Repreentarenfonetionall«demstplxorl cn nts de selec. Definitia functional a demultplexorulul (DMUX,) ‘Vom considera ef semnalul ce trebui este un cuviint de un bit. ribuit sub comanda unui cod de n biti 4 Fig. 76 Demuliplexorul lementar (OMUXE). a. Strctura de principiv a DMUXE. b. DMUXE bufferat,c. Simbolul logical DMUXE. Demultiplexorul elementar (DMUXE) Definitia 7.3 Demuliplexoral, DMUXq, pentre wm bit, norar cu BY, indi prin cei 1 biti de selectie, niyo. una din iegrile Yn,» la care este transferars valoarea B* aplicataintrdrit. © Din punct de vedere functional DMUX, poate fi reprezentat prin eircuil din Figura 7.5, unde iegirile unui DCD, deschid porte N AND: prin care trece inver- sal semnalul , Astfel, yf = HY unde valoares lui i este dati de codul de select 2q-ty--+580- Este evident cB, in circuitele concrete, ultimul nivel de port al decodifi- ‘catoruluiformeaz8, prin actiunea regulii de asociatvitate, impreund cu NAND-urile un numar de 2" port NANDas1. ‘Yom defini si circuitul de demultiplexare fnr-o manier§ recursiva pornind de la © un circuit elementar: DMUX-ul elementar, simbolizat prin DMUXE. Structura de principit a unui DMUX cu 0 intrare de select, xo, este reprezen- tath in Figura 7.6a. Pentru zo = 1 ieyirea ys ia valoarea B, iar pentru x9 = 0 iesires ty ia valoarea B. De fiecare dati cealalliesire este inactiva, tn acest caz ia valoarea O pentru c¥ iesirile sunt neinversoare. inctrcarea ambelor intraci este 2 unity, Pentru @ ~ fer o icareare minim circuitelor ce "vic" aceste intr este folositd curent variamta cu buffere. in Figura 7.6.b este teprezentath varianta bufferaté a DMUXE. Structura este ~ o patticularizare directi a DMU Xq. din Figura 7.5, pentru n = 1. Un motiv in plus pentru a prefera accasti solugie este dat de folosirea exclusiva a circuitelor inversoare, cu avantajle ce se pot imagina Propozitia 7.1 Peniru E’ = 0 DMUXr, devine un DCDs cu iesivle active pe zero. © DCD poate apare deci si ca un caz particular de DMUX cu intrarea demuli- plexatl menjinatd (cablatt) lao valoare constant. Notaia pent aceastintare vine de la englezescul enable, care tnseamni permite, Inu-adevir, activareaacestl inci (B = 0) “permite” DMUX-Iuis¥ funcyioneze ea un DCD, altel, toate isirile circuit ‘lui devin inactive. Dup cum vom vedea imediat, intrarea BY va fi deosebit de util in expandareastructurii DCD-ului sau DMUX-ah Dimensiunea, addncimea gi complexitatea DMUX vor deriva direct din eval varea DCD. Putem, desi concluziona cB acest circuit este, de asemenea, simplu gi lizabil la dimensiuni mari, deoarece ordinele de mirime ce rezultl din evaluare sunt sccleasi Definitia recursiva a DMUX,, Introducerea circuitului elementar de demitiplexare ne permite st dim si 0 definige recursivk pentru structura unui DMUX cu un num oarecare de intr de 212__7_CIRCUIE COMBINATIONALE mB DMUXE th A Facts 0 «1 DMUX,-1 We Yojas ho Ma Yn ig. 7.7 Stroctura recursv defnits unui DMUX>, select, Definifia 7.4 DMUXy cu intrdvile de select, t.15.+-y., este defini recursiv prin siructura din Figura 7.7 (parte integranta a defipei), unde DMUX, = DMUXE (vedi Figura 7.60). © Definiga anterioar ofa in acelasi timp gio regu simpl& de proiectare a unui DMUX sub forma uneireflearborescente de DMUXE. Prin aplicarea denon a regu, Ia fiecare pas edlugdndu-se un nomtr dublu de DMUXE, rezulté o reea de 2” — 1 DMUXE orgenizatefntr-un srbore bar. Evalurite canitatve ale stuctuiirecursiv eonstrute dau: Spayy-xiay € OC2") si Domuxia) © O(n). Dact adincimea este considera inacceptabilé pent 0 anumith aplicatie, atunei se poate aplice 0 soluie mist, Procesul de constructie recusiva se poate stopa la un nivel convenabil, la care strucurile de DMUX; si fe realiza varianta cu adancime constanté (folosind DCD-uri cu adéncime constant, descrise in sectiunea anterioar’). O variant posibilt pentru reslizarea uneisceriatimpulu de propagare este ‘ceea in cae chiar mecanismul de stueturare recursivi si fe realizat eu DMFU X; avind i>. 713 Multiplexoare Foneyia “inverst” demultiplexitii este multiplexarea, Circuitul asociat aces- tei funepii este folost pentru “a aduce™ (selecia) comandat configuraii binare din mai SISTEME DE ORDINUL ZERO $0.9 <7. Ciuite de uz general a3 pute locus tran singur!. Vom prezenta in continuare numai circuite care selecteazt ‘onfigorafi binare de un singur bit. Prin conectarea fn parelel a mai multor eireuite se ‘yor putea constr, simplu, multiplexoare pentra configura binare de doi sau mai maui pe tngl functia de selecie, multplenoarele ptf folsit gic cei penta - ealeareafuncyitorlogice. Ne vom affan fafa unc prime tentative, din plcate rata, de a segrega structura fizicd de cea simbolic§ in proiectarea unui sistem logic. Explicafile eesti rate vor date mai trziu, atunci cid o nowt Incercare se va dovedi reuit Tfosscrierea comportanentaia a usui DMOXE; nodule DMUXE(inS, in, out0, out’); input inS, inB; ouput outd, uth; Hcontinous assignenent; sign oiti = ~inS & ink; assign out] = inS & in; endnodule [oencrieren strvetarel’a 9 unui DHUXE; edule DHUXEGinS, inB, outO, out!); Amput inS, 8B ouput ontd, outs; wire vt, v2, ¥3; DODE DODEL(InS, vt, ¥2) NOT NOTSCinE, v3); AND ANDY (1, "3, out0); . AND AND (42, w3, o0t2); endnodule Funeia de multiplexare Funcjia de selectie este una dintre cele mai importante functii de wz general “Importanga ei este comparabili cu cea a funcjiei numerice de inerementare (vezi 7.2.1) [- Unul dintre cele mai folosite modele de calculabilitate cel al funcyiilar recursive {Kleene Ae), ae pine facile deta elecia i em inrementarea (vei Caseta 101). | Tam denamit, pi aaum,ecet crcl porns de la funeia ce a mpus: selector (vei Case 10.1 capital 10) Trecem, in coninoare, le denote ce a consacrat ea creat mulhipexor a: 214__7_CIRCUITECOMBINATIONALE _SISTEME DE ORDINUL ZERO -s6. ecuite de uz general 218 Yn DCD, y Fig. 7.8 Moltiplexorul cu m intr de seleeye (MUX,) realizat eu un DODy $0 struct AND ~ OR. fp expla de MUX; din Figura 79 of semnalele depo ine electate tree pin Fie logce, pe cind semnalele de pe inrrile de selecie sunt inziate mai mult in cal cel mai defavorabilparcarg 4nivelelogice, In proiectarea de sistem ‘rds fnem seama de aceasta ferent stun cénd avem de ales fate a apica Definitia 7.5 Un muliplexor, MUX, are n init de select, 29 Sefumlele pe un tip de iniare sau pe altl. Vom prefera, evident, ca semmalele care lecteazt la iesirea y valoarea de pe una din cele m = 2 intrdri, in-1, specificarea indicelui metrimt is. 0 Maltplexorul elementar (MUXE) asociatefuncjei de multiplexer. Codul de select webuie mai inti decodifcat pentru 4 ca ircuitel sf "afle” inicele ita care ebuie tansfratt la iegiea y, Tesitea activa DCD, va deschide poarta asocitt cu serail de intrareselectat, ist uncircult OR va aduna” jegirile ponlor pentru a forme iesirea moktiplexorlui. Destirea antetioart te asociath reprezentirii din Figura 7.8. Deoarece iegirileneinversoae ale DCD,, sunt” realizate, dup’ cum gtim, cu porfi AND, vom putea aplica iar8si regula de asoci: 9 pnt a compacta aceste por eu porile AND; dif structura AND — OR. Va tezulia struturatpicd a unui MUX. Pentru d afla care este regula de constructie @ unei structuri de multiplexare jaind namai rejele de muliplexoare, vom proceda similar functiilor anterioare, Exemplul 7.3 Structura recursivi a multiplexorului cu n intriri de selectie (MUX) Definyia recursiva a structurli MUX, va fi dati folosind numai circuite de tip ‘MOXE. Dar sugestia oferiti de aceast define ne va putea permite si concepem struc 216__7_CIRCUITECOMBINATIONALE __SISTEME DE ORDINUL 2FRO- $0.9, 77 Nodetel comportanontal, ai MOE mpdule EVUX (in0, int, in8, out); Spat &0, int, Amst output outs pe ‘//eouxcinous asuignenent’ stacenent asign-out = ~(inS b n0)1 (ns & int) fendzedule\\, Ae UModelud structural al MOXE; module MUXE(3n0, inl, inS, out); Smput ind, int, ing; output outs wire v1, 02, v3, ty DODE DODERCinS, wt, ¥2); /[Betinit *in VersBox 7. ‘AND AND3(=1, n0, ¥8) AND ANDA(s2, ina, 8); OR OR1¢u3, ¥4, out); endnodule jt io 70 MUXE y a y b Fig. 7.10 Multiplexorulelementar (MUXE). a, Strvetura intern a MUXE,b, Simbolul logic al MUXE, Fj cuca evn gee 27 Fig. 7.11 Strvetura recurs defn unai MUX eu intr de seleie (MUXq). ce urmeazi si ca pe o reguli de eatindere a capacitifii de muhtiplexare a unor mult plexoare de o dimensiune oarecare, Definitia 7.6 Srructura unui MUX, se obfine interconectdnd serial un MUXE cu dou MUX» interconectate x paralel (ca in Figura 7.11, ce este parte integrantat din aceasta definitie), iar MUX; = MUXE. © Mecanismul de structurare anterior definit conduce la realizarea MUX, sub forma unei rejele arborescente de 2* — 1 MUXE. Arborele binar rezultat va avea n niveluri. Rezult¥ ck: Syeu-x¢n) € O(2") iar Dru x(a) € O(n). ‘Structura recursivl, anterior definitt, poate fi transforma fntrun circuit de adincime constant& aplicind principiul distibutivtajii. S& consideram cazul particular al unui MUXo defiit recursiv. Ecvatia care ar descrie funcfia logic a acestui multi- plexor se serie astfel: y= 2y(coia + xhia) +24 (20% +20) ~ Prin aplicarea regulii de distributie se objine: y= 2yoia + sishin + zheoia + 2h zhio. Untima ecuasie reprezinté un circuit cu adincime 4. Acelagi proceden se poate aplica in ‘mai multe etape ecuajiel care descrie un MUX, rezultand, pentru orice n un circuit de multiplenare cu adancime constantd, MUX. Ca exemplu poate fi dat MUXCy reprezentat in Figura 7.9. Dimensiunea MUXC esie: nSpepe+2"Sayp(n+1)+Sog(2") = 2°+(n+1)2"-42" € O(n2"). Suvxe(n) 218__7_CIRCUITE COMBINATIONALE__SISTEME DE ORDINUL ZERO - $0.0" ‘Multiplexorul si implementarea functilor logice Pe lang functia de imerconectare je care deja am evidenfiat-o, MUX-ul mai ‘poste realiza gio funcie mai generald dact este privit dintr-un “unghi potrivit. Ca sity cazul circutelor togice simple, MUX-ul posed mai multe interpretiri, Una dintre cele ‘mai importante, sub aspect teoretic, este posibilitatea de a realiza orice funcfe logic ‘Si ne intoarcem la reprezentarea MUX -lui din Figura 7.8. Se poate pune aici fn evidenp’ o stractur’ pe doui niveluri: ‘* primul nivel este unul de RECUNOASTERE, realizat de cétre decodificator + al doilea este unul de GENERARE, realizat de structura AND-OR eare asociazi fiecarei configura binae de inware, j = zq-t4-+-»9, pen intermedil sem- nal derecanoetere yo valoare Se sire Astfel, fiecirei configurayii de intrate j reprezentats pe n biti (un rnd fn tabelul de adevir ce ae in stinga 7 coloane) i se poate asocia, prin intermediul unui MUX, 0 val- ‘are binard (0 valoare din coloana din dreapta a unui tabel de adevit). Deci, orice funcyie de n variable binare se poate calcula cu ajutorul unui MUX cu n.intrati de select, x conditia ca valorile functiei, din tabelul de adevar, si fie corespunzitor conectate le intrile selectae, Definiia 7.7 Vectorul caracteristc al unet finctillogice f este Vj, format din valorile pe care le iau mintermenit asociag funcyiet J; vom serie: Viteperens) = MoM, os Ma-3 Linde ms po fi valor binare sau inci de forma g(atse+-s2-»)- Veetorul caractristc al fonetieif(eyo3y.-+y0) vf "selecta” prin MUX ack Vy Exemplul 7.4 ie foncja Mg = f(a, by¢) de vot majortr, Aceasl funetie ia valoarea logic in cazul in care majoritatea intdrilor au valores logick f, Tabelul de adevar ce o descrie este ‘urmitorl: Hl 000 0 oo | o a1} 0 ou} a 100 | 0 wor} 4 Ho} mj 7_Grevite de uz general 219 rrro109eoo titi tii are ba MUX: c—| 2 y ‘ig. 7.12 Foncja de vot majoritar pentra tei varabile, Mg, realizattcu un MU Xs, Veecorulcaracterisic al acesteifuncii este Vag = OODIOL11. In Figura 7.12, pe intaile smlectae ale unui MUX, a fost aplicat vectorulcarscterstic Vig_ lepcea y a MUX-Iui va senera valoareafunctiei M, Fiecare configucaiebinar§aplicatdimrilor “isi va selecta™ valoarea de igive corespunzdtoare 7ibescriezea compercanental’a a unui MU (eu incrari de'selec! tie, NUX2 nodule HUX2(in3, in2, int, ind, 1, 90, out); Anpat in8, in2, ini, ind, 61, £0; ‘516 cORin0) | (“eiR60Rin4) | (61 \R"s0Bn2) | (stsORIN3); ‘VeriBox 75 ape inte selectate ale MUX-Ini se pot aplica ns mura valor epnle [> cetordout fun de 0 variable) ci gi funcii de una, douk sau mai make vaca. ‘Aces obsevajie poate fsugerat i de reprezentarcafuneflor cu variable sau fone introdese in abetele de adovr cau n diagram V-K. In acest reprezeti se obyineau forme mai ugorde mainpulat, In azul implement cx MUX a funeyilor logce, oastel de observafie genereszkvariante ce pot prezenta unele avantaje, Regula de distribute este oea care permite slut cu MUX avand mai pyine inter de selecte, cu care va seleca faci de una sa mai muitevariail, in loe de vali Cunci de 0 vriabile). Spre exempla,o funeie oarecare de3 variable se poste transforma dup com urea He,t) = aig + Vc +... + abcir 220 __7_CIRCUITECOMBINATIONALE _SISTEME DE ORDINUL ZERO: S09 fe. pee ; nos oO es fs MUX, l bt 'y ay io of ss muxe {, : . hy { ; Ms Wa 743g yn co MU unde 8 a rie MU | Duane ie. ena 0 URE el ‘h(a, b,c) = a'bi (cig + cis) + a'b(clig + cig) + ab (cig + cig) + ablelig + cir) unde in paranteze, tn func de valoileconeret ale vectra caracterstie pot real toate cele 4 fan deo variabili: 0, 6 2,1. fm acest eax, un MUXe avind aplicate intl de elesie 2 = gto = b va pute fi fost pena cael Fonte =| -dacti pe intririle selectate vor putea fi aplicate, corespunztor vectorului caracteristic,.=4 fuveyie de variabila c. 3 Exemplul 7.5 4 Reludnd exemplul anterior, vom rescre tabelul de adevir pondnd tn evident funeile de | vatiabile, punind in evident facile de ‘OOO ] 0 oor | 0 “oop Rezulti c¥ pentru ab = 00 functia M este, in functie de c, Mf = 0. Per ~ In funcfe e eM = 0, Pentru ab = 61 gi pene ob = 10 veh Af = cin ptr ad = Li =. Vom fos un MU a, Ciruite de ux general 2 gentry fonyia M conesindu ius select (eat gura 713s) dup cum a ena Sin abel anterior: fp = 0. i ‘Yom face gi pasul urmator: folosrea unsi MUXE gentro a construi circuit esociat seeking funcfi: Pentru aceasta va rebui sk punem in evideng func de dook varibile sore ef conectate la cele dou iri selectate.Tabell de define func de vot mas jutar vai edesenl in connuae axel nets pot evidenfiste une de ele dou varabile bi iol 110 uu Hand oo oe Rezulti eX pentru a = 0, funcfa M este dat de o posrtt AND (Meno = be), iar pentra fe 1, acecagi funotie est realizatl de o poartt OR (Maui = b+ €). Citeatul obfinut ste reprezentt fn Figura 7,13b, unde MUXE selesteazi prin a AND-UI st OR-ul de bi Care din solujile anterioare, oferite functici de vot majoritar, este cea mai ‘bunk? Ricpunsul depinde de contextul in care aceastt funcjie este realzaté. Dimen- sine minimg are ultima solufie. Complexitatea minima o are prima, Un compromis bun fire dimensiune gi complexitae Il reprezint soluyia eu MUX. Aceasta din urmi mai ae si avantajul c&, pentru variabila apicatl pe intrrile selecate prezinta o adancime de rnamai dou’ nivele. Circuitul combinational poate calcula orice functie!? ‘Paragraful anterior ne pune in fya unei probleme interesante: se pare ci se poate ‘ss osolufie universal& pentru caleulltuturorfunciilor prin folosirea cireuitelor logice ‘ombinationale, ‘Vom incerce s& aritim c¥, chiar daci teoretic acest Incru este posibil, practic este deosebit de inefcient atat din: punctul de vedere al complexitigi cit si al dimensiunii solugei. ‘Teovema 7. Toate fnctiite de n variabile F(vq-1y-+-00), unde ve © {0,1}P penne = 0,_.., (1), ex velo in {0,1} porficalcutate cu circute loge combinajionale Demonstratie. Funcfia F(oq—1y+:+%9) poate fi realizatli cu ajutorul a m cir- cuite combinationale distincte, ce executs functile fi + {0,2} + {0,1), penta 222 __7_ CIRCUITE COMBINATIONALE, 7M pentra doua cuvint nodule MUX1_82(out, ind, int, se); inputsel; input (31:0) output (31:0) reg(31:0) always @¢in0 if (sel = out = ind; else out endaodule ind, int; or int or sel) o) = ant; SISTEME DE ORDINUL ZERO - $0.9 de 32 ae bite {/MOX-u1 pentru doua cuvinte de 5 biti nodule MUX1_5(out, in0, int, sel); input Smput [4:0] output [4:0] rogl4:0) alvays 0(4n0 if (se, fel; ind, int; out; or int or sol) °) oat = ind; else out, endnodule int; J /Mox-u1 pentru patru cuvinte de 32 de bitd ‘module MUX2_32(out, m0, int, in2, in3, sel); input[1:0] sei; input[31:0} ind, Sni, in2, ind; output (31:0) out reg(3i:0] outs always @(in0 or int or in? or ind or sel) case (sel) 27000: out. 2"O1: out 2°10: out 2rbLA: out endease endnodule VeriBox 7.6) A_Giruite de uz general 233 Cle, CL altn-25-0470) 4 BlEn2s--170) nf"? MUXE, neuitalui esociatorietrei funtii den Fig. 714 Regula recusivs care permite constrjia varie binare. sm ~ 1, Fiecare dintre aceste funetii se poate calcula cu circuitul deseris in den xp = ¢ variabile poate f scrist sub urmitoarea forma +70) 2420) = 24-19 (Sna25+-+920) + Bah (aay ia I rndul lor funcite 9 si hse pot sre similar. In Figura 7.14 este prezentat regula recursivdupi cate se poate reliza un cieut logic penta orice fancte des ‘arable binae. Conform acesti regu n flceare ep apar func logice eu ete 0 ‘arb bind mai putin, pnd cnd se ajunge ea la inti de selectie ale MUXE st Se conetezefuncit Ge 2er0 variable, adit vor binare. Rezalté un arbor binar cu $s nivel realiat din MUXE. Intrrle MUXE foloste ea "ronze” in acest arbor, vor imi pe intr o configura binark de 2° bi (vectra caactersti al fancii). © Deci, pentru a caleula orice functie F(t-1,---5¥9) de variable, reprezentate fiecare cu p biti, ce ia valorireprezentate cu m biti sunt necesare dout tipor de structuri: + Hructurafizicl a m multiplexoare cu n x p intrii de seleetie «+ structura simbotic a rm giruri binare de 2°? biti aplicate Ie intrile selectate ale celor m multiplexoare. [Ne afin tn faja unui proces de segregare neti fate: + ostructuri fzict foarte simpli, de o mare regularitate, acceasi pentru orice funcjie ‘de n variabile reprezentabile pe p biti 224 __7CIRCUITECOMBINATIONALE _SISTEME DE ORDINUL ZERO- So.4g: = Toietal stractwral al circuited de module ¥3(a, b, cy m3); input a, by cf output m3; wire wi, #2; vot wajoriter cu trel inteari ne and aNDSGa, b, #15 OR onda, b, 92s NUKE MUXEL(#2, ¥2, a, 23); ‘endnodale + ostructura simbolicd, ce atinge, de reguld, o complexitate foarte mare, in sensul nu posed regularity care s& permit o reprezentare mai simpli, : Simplul si complexud se afl maximum segregate th domenit net disticte. Primul-in ] Exemplul 7.7 Fie suma modulo 2 ii bi, Vector caracteristic este (vezi 4.3.1) utmatoru s 1.10.10.01, fn proces de reducsre vector caracterisie devine pe rind: bedrec’ =(@ebod) Dupo prim aplicre a reguilor R21 R3 rezut. un vector edu la pau variable (une dde-o variabild): se elimind astel din cieuit primul nivel format din 4 MUXE. in etapa urmioare au fort aplicateregolile 9 si R1O gi rezultat un vector cv doud componente, ambele funchit de dovl variable, b sc, eliminindu-se gi al dolea nivel format din 2 [MUXE, O ultima aplicace aR9 reduce vectorl lao singurd component, elimindnd asf, si ullimul MUXE éin etcut. Desi, arborele de MUXE se reduce la cele doul ciruite XOR anterior cunoecite. {In exemplul anterior am ajns 1a. 0 stuctur fic foane simp dati sim- {_. pliuyii descrieriisimbolice aplicate reelei arborescente iniiale de MUXE. Peniru cite configura binare ce descriu un circuit logic se poste objine o reducere atat de spec- taculoasi? Pentru foarte pujine. Valabiltatea acestei cli se dovedeste astfel discutabil 226 __7_CIRCUITECOMBINATIONALE _SISTEME DE ORDINUL ZERO 80.9 gd de tae rey Pe Pe ee ee y Be ae ae Se i y ey y + oC 0 : ofp Ma rey L r 11S Realizarea fonciei My cu 0 rejea arborescentk de MUXE. a. Configuratia iniialt Sn care structura fied simpli este perfect segregatl de siructurasimbolickbinar& complexs. b. ‘Stractura intesmediardobyinatd prin reducerea prim nivel. c. Circuitul final Tn care stuctera simbolil a fost asimilatt prin procesal de reducere cares generat o structur fried complex. 7A Grete de wz general 21 [eam gribit cu procesul de segregare a circuitelor simple de configurafiil binare com- plexe. Metoda de proiectre anterior prefigurat este importanté din punctde vedere teorete, dar practic 0 vom evita pentru ck: + chiar dack presupune un circuit simplu acesta este dimensionat exponential 4 mecanismul de reducere oferi soluii practic aplicabile numai pentra un numir ‘mic de cazuri concrete. ‘Mecanismul de segrogare va trebu si se alieze gi cu alte procese pentru a deveni ficient {in proiectarea si realizarea sistemelor digitale. Autonomia oferiti de buclele de reactie va ficea care va permite valorticarea segregisii dintre simplu si complex. La nivelul rcaitelor combinationale va trebui si folosim alte metode sau sine limite la circuitele rmaricare pot fi definite prin alte metode. Daci, pe calea descris in acest paragraf am fi purut realiza eficient caleulul tu- turorfonetiorlogice, atunci demersul nostr fn spatial sistemelor digitale ar fi trebuit st sc opreasc& aici. Nu se va intampla acest lucru si vorn continua si efutim efi de a reduce «imensiunea si complexitatescireaitelor. Vom pllti un pref pentru aceasta. Maginile uni- verse pe care le vorn concepe vor fi simple si vor avea dimensiuni rezonabile, darmu vor potea rezolva orice problem’. Universalitateseficientt presupune incompletitudinea”, 7.14 Codificatoare priori Rispunsul 1a un mesa} decodiicat presupune, de regull, codificares acelui rispuns. Codificarea se institu asf Into operayie inversi decodlficdri; evident, ‘modaltatea cel pun Ia el de aproximatvain care multplexarea sa dovedit af operafia inven demaliplexsi. Codiicatea, 1a acest nivel al cicuitels, presupune operat simpl8 prin care ‘ui semmal de un bit, eplicat pe una din cele m inti ale codificatorulu, ise asociaat tn cod de nb a iegiea cireuitwi, find Endeplinita condgia ca m = 2%, astel meBt flecare bit distinct dela intrre sk poath fi diferitcodifieat ta ogre. Notind intrsite ca fin tnim-toeonsHoy d008 este aplicatsemnalul 1 pe intrazea 4 si semnalul O pe toate Caleta, atnci la iesiea codiicatorulu va fi generat reprezentarea binarl pe nbiji a nomirla j ‘Pind tn acest moment simettia cu funcyia de decoeificare este perfect (decod- ifesorl asocia unui numr den bij un bit activ fnt-o configuajie bina de m biti) ‘Aparsl douk aspect prin cae simetiaestealterati re « vatrebui semnalizats situafia in care pe intrare nu este aplica nici un semnal (toate intrile au valoazea 0) «va trebui solujionatSsituatia confictuald fn care doutt sau mai multe intrSri ale codificatoraui sunt simulian activate; avind posibilitatea de @ genera numai un "Brana cee A demand ro woe care, ceva ata desoreavhitetua sistemelor de cael 228 __7_CIRCUITE COMBINATIONALE Lp | CP, nw 2 TTT TY Fig. 7.16 Codificatoral provtar cu 3 iti (CPs). SISTEME DE ORDINUL ZERO - $0.9 e singur cod pe iegire, va'trebui impus wn critesiu de alegere fntre dood sau mai ‘multe intr’ti simultan active Prima problemi se solusioneaz simplu, cu oiegire suplimentar8, notati cu Z, care indicd prezenja semnalului 0 pe toate intrrile. Solujionarea celei de a doua probleme justificd i, atunci la iegire va fi codificaté intrarea dy, intratea i find ignoratd, Pe scurt, codificatorul prioritar indict pozigia bitului cel mai semnificativ cu vvaloarea 1 din eadrul configuratei binare aplieate intr Existh i 0 interpretare numericd a funesiontsii codificatorului proritar. CP, caleuleazi partea intreaga a logaritmului tn baz¥ 2 al numrului aplicat inti, isiree Z indicSnd operajia interzisK (sau valoarea penteu care funcjia nu este definitt). Dacd intrarea reprezinté oumarul binar de m biti M, iar iesirea numarul binar de n bigi 1, atunei putem serie: daci Z = 0, atunci N = log, M, altfl functia nu este definit iat valoarea NV = 0 de la iegire nu are nit o semnificaic. Codificatorul prioritar eu 3 biti (CPs) ‘Vom incepe studiul codificstorului priortar cu un exempla: codificatorul pri oritar pe 3 bit, CP, ce codifick 8 intriri. Schema bloc a circuitului este reprezentata ‘n Figura 7.16, unde cele 8 intrti codificabile sunt é7,5,... iq, cele 3 iegti cu valorile ‘chrora se codificd intrrile sunt yo, You iesirea ce indic& valoarea zero pe toate intirile codificabile este 2’ (activi pe 0), iar intrarea de activare a intregului circuit (enable) este E' (ectivi pe 0), Dac Z' = 0 (toate inutile sunt inactive) atunciiesirile yy indica val- ‘area 000. Dac circuitol nu este activat (20' = 1) atunci iegrile indie’, de asemenea, 000. Exemphal 7.8 Funcjionarea CP, pentru urmitourele configura binare de intrare fg = 00101011 ig = 00100100 “,_Gircult de uz genera aay 3. El = Osiris este urmitoaea: 2) = 1.gonye = 101 2! = Leyanito = 101 lui pin 0, In igre va generat, ced 101, doarese itl l Senet cel ma semnicat 1 de pe invade eodfeat (sei iat ex valowen I eign; ingen 2° = 1 va inden green a el pon nui pe inside codieat Cazul rita a prezena ei desk desrece ai insile mai puja sermienve deity a0 moieat fa de primul ca. fn cee dea Patra situa foncjonarea cru ese bloc, In iimol eb, inti de eodieat Find eae, ieyea 2” ~ Oindch acest fp ir codl O00 dee siren are ernie din caal 3, onde indice prezen lI numa peintarea co ndicee 0 Pentru acest exz, relat simplo, vom putea specifica direct structra iter a cireuitlui prin ecuagile ce descria comportamentulisirilor, dup cum urmeazd: 2! = (Bihiy...i)) Ya = Blin + ig + is + ia) a Eli tie + (is + ia)lHis)) yo = Blix + isis + éaltais) + fa(bii6))- Intr-adevir, 2" ia valoorea 0 dact toate interle de codificat sunt 0 gi BY este de asementea zero, Bitul cel mai semnificaiv al codului, yo, ia valoarea 1 daca pe intrare este activata una din inrrile superioare lui fg, pentru care codul ia cel puyin valoarea e [005, Bitul median al codului este activat necondiionat pentru intririle 7 sau 6 sicondigionat, de absenfa lui I pe inrBrle 4 gS, pentru intrrle 3 gt 2. Similar, bitul cel mai pujin semnificativ al codului este activat necondigionat de intrarea 7 si condiionat de intriile 5,3 gi 1. Faptul c& din ecuafile anterioare se tnrevede o regul8 dup’ care s-ar putea con- strui un CP, pentru orice n ne tncurajeaz4 s¥ cEutm o definijierecursiva pentru aceasta fanctie.. Vom urma o cale identicS situafilor anterioare, definind un cireuit elementar $i ‘o regula de structurare a C-P, folosind ciruite de tip C Py a re 230 7. CIRCUITECOMBINATIONALE __SISTEMEDE ORDINUL ZERO. ary a CPE {5 CPEE zw Zw a >. Fig. 7.17 Cosificatorlpririarelementar (CPE). a. CPE fd intrarea de activareE, b. CPE ce inrarea de sctvare & (CPE), Codificatoral prioritar elementar (CPE) CCoulficatorul priortarelementar, CPE, este cel mai mic cireuit care realizeark fanctia de cediicare proirtars, Va fi deci CP, (vezi Figura 7.17). Vor considera CPE Jn dou variant: cu g fr intrare B {in varianta cu intrare de enable, ecuaile ieislor sunt: 2’ = (¥4i6)', yo = Fix Geduetbile gi direct din cele pentru CP, dack se considers iy = ig he 0}, Beuatiile pentru cea de a doua variants, fird intrare de activare, se objin, pentru condijia suplimentard E" = 0, sub forma: 2! = i; + io, yo = fn. Adicé un simp cireuit or! ‘Am pus In evident cele doua variante pentru cX vor fifolosite pentru modality dlistincte de structurare a unui CPa. Definigia recursivi'a CP, Pentru functia de codificare prioritara vom pune tn eviden} dou modalitii de structurate. Prima va presupune conectarea tn serie a unor circuite de codificare prioritart cu intrare de activare, iar cea de a dova va folosi conectarea paralel a unor circuite de codificare prioritard Pit intrare de actvere, Defintia 7.8 CP, est wn circuit ce se obtine conectind tn serie dou CPyjg ca in Figura 7.18 (parte imegranta a defn), flosind iesiea Zia OPya ce codeced bf mal semnificari sintrarea By a Cy ce cadens biti mai pun sernicativ. Bint el mai sernfcart ales yest dar de iesirea Z) aprimalui CP ar celal n= 1 bide iesre se obyin prin r.~ 1 circuite ORs, care conectt la iesiriley, (= 0,3,.-.5(n~2)) ale celordout CPy_y. CP, este CPE cu inrare de conditionare CCircuitul reprezentat in Figura 7.18 este un CP, deoarece yy = 1 numei acd cel payin unul di bi npn are valoarea I (eci Z{, = 1), ia ceilal bi si codului sunt dai de numai una din cele dow’ codifieatoare, unul avind tntordeauns toate iesirile de cod egale cu 0, Inradevdr, duet intrrile de eodificat din jumatatea mai semnificativa sunt toate 0, atunci este ativatcodificatorulcelor mai putin semnificativ 1A_Gerevite de we general imal es faa npn to | 4 inmate] into BAB PE ZB PEpa 2! toa_--_w]| [ver wo T ] UJ Yaa Yeon w Fig. 7.18 Extensia sere mya bmi io | | | toh pot CP 2 CP 2 2a ircvilelr de eodificae prioritar8. UU oe | | wonuuxe c = Za inte Un-2)-- 590 Fig, 719 Extensia paral ciruitelor de codificareprioritard 232_7_CIRCUITE COMBINATIONALE icgiile ficator find dezactivat (prin Z, = 1). Selectarea celor mai putin semnificat de iesire se poate face simplu cu citcuite OR deoarece prin modul de interes aleelor dou CP, ne-am asigurat de faptul c& Iniotdeauna cel potin una din intr fieeirui cirevit OR este egalt cu 0. Evaluarea acestu circuit oferd o complexitate constant, Dimensiunea circu Ini se evalueazi pornind de la reaia: Scp(n) = 2Scp(n ~1) + (m~ 1)Son(2) = 2" Scpe + 2"*! — In — 4 € O(2"), Mirimea rezultatl este perfect acceptabilf, depinzand Pentru adiincime vom serie: * Derin-ay +16 O(2) = Ofm). Devin) Adincimea objinott este nesitisfleRtoare. Timpul de exécufie al eicuitului este roporjional cu numtul de intr. fn majortatea aplicayilor acest timp de execute ‘ete inaceeptabil : ‘Clutarea unei variante mai rapide se impune pentru dispune si de 6 soluyie entra aplictile de mare vitezi, Ne asteptim ca prin extensia care foloseste'conectarea fn paralel 8 obyinem o soluie cu timp de executie mai rapid. Definitia 7.9 CPq este definit prin coneciarea tn paralel i dowi CPx, conform reprecentirié din Figura 7.19 (parte integranti a defnifci’legrite celor dowd cod. featoare sunt mutiplexate folosind n ~1 circuite MUXE selectate cu y.-1, obgimut prin ‘codarea prioritard a celor doui semnate, 24 si Zy ce indict absenjaa cel puyin unui bit ‘activ pe intrdrite celor dou codificatoare priovitare, realizal cu un CPE fd intrare de condiionare. CP este un CPE fra intrare de conditionare. © {In aceasth variantt ambele codificatoare sunt simultan active, functiongnd fa pall, spre deosebire de cazul anterior in care cdificatorl bilor mal puin semifica- Avi era actvat ca urmare a decizieirealizate de codificatorl bijlor mai semnificatv Dispunem, deci, de dowd coduri der ~ 1 bi gil wom alege, folosind cele rn ~ 1 cieuite MUXE, pe cel care este valida de covificarea privitarh abil 2} si 24. Dac printre cei mai semnificativi m/2 bij se afl cel pogin unul en vaoarea 1, atunei 2}. = 1 gi cel mai semnifcativ bit va fi yaa = 1 iar urmitori Vor fi eek codificai de cicuitul ce recepfioneaz’ cei mai semniticaivi bij, altel, yn.) = 0, iar umitori vor fi dati de ‘codiicatorulcelor mai putin semaificaivi bi ‘Complexitatea acestei.varante este de asemenea constant, Dimensiunea va ‘ezuta tn aceeasi cast de complexitate cu dimensiunea extensiei serie, dar adéncimea ‘afi iferts, Pentr caleull adineimil seriem: ; Devin) = Derin-1) + Pers + Duvxe € O(n) = Ollog m). ‘pi_Ciroute deve general 233 ‘adincimea logartmic8 fn raport cu nomérul de intr este perfect acceptabill. Re- awvarea problemei 7.10 va ofer cititoralul gi mitimea efectivé a prejulu plait pentra ‘resterea vitezsi de codificae, 7.1.8 Circuite pentru calculul prefixelor ‘A sosit momentul sf incereim implementarea unor functiiaritmetice pentru 2 = jedea ce noi structuri se prefigureazt c® find utile. Inceputul tl vom face cu funetia meric cea mai simp: sumarea cu 1 a unui numde binar reprezentat pe n bjt, sau pe {ur incrementarea, O primi soluje ar putea ficea a unui sumator pentru dou numere Geen biti cu una din ints cablaté la valoarea numerick unu repezentat pe bi. Dar de ce naam cfutao soli direct in sperana cd incrementarea se poate colutiona specific? {furadevir, pentru valosrea particular wnu se poste construi un algoritm mai simpla + care nu presupund explicit operaja de sumare. ‘Exemplul 7.9 Peniro a evidentia slgoritmal de inerementare si seriem succesiunea primelor eiteva ‘numere naturale eprezentse binar, dupf cum urneaz%: 0, 1, 10, 11, 100, 101, 110, 1211, 1000, 1001, 1010, 1011, 1100, 1101, 1210, 1111 10000, © primi observate: Ditul eel mai puja semnifcai, bial ero, comutd la fecareincrementare. Cind comutd ‘urmitorl bit (btu! una)? De or de ete of itu anterior are valosrea un, Dar bital doi? intotdeauna cind primié doi biji au fiecare valoarea 1. Regula general este evident Dita! de pe odin binar i coma atoret cand ol bil de pe ordinelebinare anterioare iu valoaree unt, ar bial tero com la lecare inremenare. Care este citeuitul flosit, ia complementarea comandatl a unui bit? Ne reamintim (veat Capitlul 4) 8 ciruitol XORy poate reaiza complementerea comandat! a unui bit, Rezltl ci penta fieare bit 2 al ctcuitwlui de incrementare (cu excepia Ii by pent care est soicent un inversor) vor folosi un circuit XORs cu 0 intrare conectat lab si cealaltconectat la isiree 1-1 (decomandi a complement) a unui AND; cu intiileconectae la by-1,.--bo- Rezulti ecuaile care descriu comportaeaiesitlrcircuitlui de inrementare: w= N=hO™=hoh = bi Ser-2 = 0.6 lob bea) Incrementatorul devine ineresant pentry e& se va putea descompune tn dou niveur primul va detecta conditia de complementare 2 flecarel bit in parte (cu iesrile 9y-+446i 0+) iar cel deal doles formst din cte un cireuit XORs pena care ieire ‘a realizacomplementares stuna end este cazul Expresile ce defines prim nivel sunt urmitoace: 234 __7._CIRCUITECOMBINATIONALE _SISTEME DE ORDINUL ZERO - 0. a q non Ne fh fe a b ‘Fig. 7.20 Implementiri limita ale rejelei cu m intrdri pentru calculul prefixelor pentru funcfia 2 J, RGP;(n). Modul fotesit implementeszlfunciia genericd fa = 2, 0.22. 8. Varianta cu ‘imensiune minim’ (RCP). b. Varianta cu vitez8 de exccofie maxim (RCP2). ashy a= bob, 2 bobibea Gat = bs Pena (Obsevim ci expreslle cu indicele mat mic dectt sunt toate “prefixe” ale expresiel ey. Exemplul anterior devine util in acest moment pentru ci ne permite st ne punem Gntrebarea: mu cumva ar putea fi uiild $i calcularea altor “prefixe”? fn cazul unui ‘ispuns afirmativ devine deosebit de utili studierea procedeelor de calcul al prefixelor pentru cazul general. Problemele practice cu care se confrunti domeniul sistemelor di tale permit un rispuns afirmativ inrebiilanterioare. Vom studia, in consecing,calculul prefixelor pentru 0 functic logic f, carecare, notat cu conectivul logic eomutativ "o”. Implementiri limit ale circuitului pentru calculul prefixelor ‘Vom presupone cl functia logic f poate fi realizatl cu circuite simple, recursiv definite, pentru oricate intari. Pentru dou’ variabile de intrare funeyia f va fi notaté cu ‘fa = 2, 0-29. Dimensiunea gi adancimea circuitului asociat se afld im O(1). Definitia 7.10 O rejea de calcul al prefixelor functici f pentru n intr, notatit.cu ACP y(n), este descrisd de urmatoarele expresii: ou FA_Cireute de uz general ng fa | Oana | o'9-0© ft te fs fe! fs fe fe fe Fig. 7.21 Rejeaua de call al preixelr ou adie logeimick (RCPS) fen fa=nom=fior=fllyn) Jae sions = frose = falfvts) : 210220...02 = fat 02m = falfn-tsn) © Daca functia f este asoeiativs,atunel f, poate f denumitsfurctia genericd a refelei de calcul al prefixelor. Din ecuayile anteroare rezuté cele doul forme limita de implementare 2 RCPs(n): cea minimal¥ ea dimensiune (vezi Figura 7.20a) gi cea realizati cu adancime minim (vezi Figura 7.206). Dezavantajul vrianei minimale, cu Sacpi(n) € O(n), este viteza prea micd de execufie datoraté uneiadaneim inaccept- abile: Dagpi € O(n). Adincimes minimalé a celei de a doua solutii, Dacpa(n) & (1), atrage dup’ sine o dimensiune prea mare: Sacpa(n) € O(n). In apical unde viteza nu este critic prima soluie se poate dovedi wil. De asemenes in aplicaii inde viteza este un paramentru esenjal cea de a doua soluie se impune. In majortaten ‘azurior va trebui 8 folosim solui intermediate, eventual una optima Circuit cu adincime logaritmicé penteu calcutul prefixelor Experienfe anterioare, parcurse chiar In acest capitol, ne-au ardtat cX dack suntem dispusi $8 renunpim Int-o misuri rezonabilé 1a viteza circuitului, stunci vorn fi gratificali eu o scldere substanjiald a dimensiunii acestuia. Folosind eircuite care implementeaza functia generica a retelei se poate construi o retea cuadincime ft 236 __7_ CIRCUITS COMBINATIONALE _SISTEME DE ORDINUL ZERO- So. 3 Jogaritmicd, fn Figura 7.21 este prezentatho astfel de refes pentru n = 8. Modul de funejionare poate fi sor ingles pornind de ly faptlc¥ uilizarea unr functi binare (ée ddoua visiabile) permite implementarea uneifunefi-n-are cu ajutoral unui arbore binar cu logy n niveluri, Intradevtr,iesiren fo este generath de un circuit ce are pe int, al doilea si ultimel circuit de pe nivel doi af rejele, iar acestea au ca intra esi eireuitelor 1, 3,5, g.7 de pe primul nivel (a se vedes cereurle cu suprafefa umbrit din figura), Ieizes fr persupune tot un arbore binar ujor degenerat dari faprului 8 na sare un numar de inter egal cu o patere a lui doi. Celelalt isiri po fi similar generate de arbort bineri. O parte din arborii astel rezultali se regasesc ca subarbod ai alors ‘mai mari, fat care permite reducerea numarului de creuite ale intregi rfele. Spre exempla, ircuitul asociat isin f, este subarbore in circutulasociat esi fy. Rejeaua rezultatd posed’ o regu de generare pe care cititoral 0 poate singur deduce. Pe biza ei se pot caleula marimea gi adancimea acestei rejele, RCPS, pentru un n ori de mare. | Rezultt Sncps(n) = (ntogan — (29 +28 + {n—1)Sp € Olntog n) Dacps(n) = (log2n)Dj, € Ollogn) Fa Hlew m) 55, = (nlogan — {ner adevir, dimensiunea refelei se poate calcula pomind de la Logg ni rnduri de cite n circuite din care se scad 2 eiruite de pe primul rind, 2" circuite de pe al doilea, 2 eircuite de peal lea snd gamd, Ne aflim tn fafa uneisoluit net mai.bune deedt cele Gout anterioare. Putem {ustfiea acest fap ealculand produsal dimensiune-adineime, care in ambele eazarian- “} terioare a fost in O(n). Acceptind o adncime logaritmici produsul devine: Sreva x Drops € O{niog?n). Putem spera fa un citeuit mai performant? Mai performant, in sensul objnerit unui produs Sop x Darc mai mie. Putem aspira etre 0 dimensiune in O(n). Sub acest ordin de mrime mu ne patem astepta Ia o solute deoarece mumrul de intr in circuit este n si tebuie sf alocdm cel pun o intrare de circuit fecrei intri th refea De asemenes, ne vom muljumi cu o ad&ncime in O(log n). Paragraful urmitor va ofei solufia optimala. Circuitul optimal pentru calcilul prefixelor ‘Si presupunem cf avem un circuit care rezolva problema calculului prefixelor pentru n/2 intrri. Cum patem folosi acest circuit pentru a constru circuit eu inter? Rezolvarea acestei probleme ne va oferi regula de constructie a oricarei refele pentru ccaleulul prefxelor. “ja Cireite de vz general 237 ‘Asociativitatea funcjiei f ne va permite s& conectim la intrarea circutului "pe jamie", ROPy(n/2), toate cele n inti, prin intermedial unor create ce calen- Jocazd funetia genericd. Vom grupa, prin circuitele fa, dout ete dovi cele n intrisi scccesive gi le vom aplica intrirlor circultului "pe jumatate”. Astel,fesirea j, pentra fe 12y---ym/2, vacaleula fy (21022) 0 (21022)... (21-39%) unde i = 2), pentru é = 1,2,...,n. Deci, iesirile circuitului ROP, (7/2) vor eprezenta jesrle pare ae circuitlui ROP; (n). Pentre iegirile impare vor folosi alte n/2— 1 citeuite fo, pomind de la relia: pontru = 2j—1sij = 1,2,...n/2. Rezulta in Figura 7.22 strctura recusiv definitt 2RGP/(n). Rejeaua RCPs(n/2) caleuleazaiesirile pare, pornind dela calcul partial relizat de prim strat de ciruite generiep fp. Suatu celorn/2 ~ 1 cireuite generice diese caleulenz iesirle impare (eu exceptia primeia ce nv prestipune nici un circuit) pomind de la iegirile pare si de la inririle impare. Rezvlté cea de a patra varianti de reyea, 0 not cu RCPS. . Evaluarea performanjelor acestei rejele va porni dela iteraile definite prin ex- resiile urmitoare: Sacre(n) = Sacrain/2) + (0-18. Doran) =Dacpa(n/2) + 2D jy Yor calcula dimensiunea dup cum urmeazi: Sropaln) = Srevaln{2*) + ((nf2 = 1) + (n/2? —)Sp. Srcealnf2) + (nf2" +... 40/2 Sp Sropal pind cind ajungem la Saca(2) = 0, dec nj de unde reauits: legen cu care potem serie: x Srcpaln) = Srepi(l) + (-lomn+n 2S p. 238 __7_CIRCUITE COMBINATIONALE fi ts hi fs fs Fig. 7.22. Defnijia ecursivi rejletcu mine pentru calcula prefixelorfuneie fn-2in-a Sn ROP Rezltécimensunea rete: Sncpa(n) = Qn ~2 ~ logan) Sp, € O(n) Pena ance urmiim o eae similaa gi obtinem: Dacra(n) = (log m)Dyx € Ollogn) Produsuldimensiune -addneime va reulta minimal Srcealn) x Drora(n) € O(ntogn) Nu putem s8 nu remaretm fapolc&semnitictivulcagtig tn dimensiune diminuares Ii 5 de O(log) oni fh de varianta RCP3, a fost plitt cu un pret foarte mic: dublarea adéncimil 7.2 CIRCUITE ARITMETICE Secjiunea anterioart a fost des 8 prezentiril circuitelor dint-o perspectivi preponderent structural, Circuitele descrise sunt utilzabile pentru a configura sisteme imai rapide pentra propagarea transportuui ‘Sumatoare eu transport anticipat fn acest paragraf vom descrc un sumator cu adincime constant, Viteza rmoxima ase ebgintl va fi comratlansact de o eretereeisproporiongt de mare dimension ezeatulu, Este eecal "anc de urgent” pe care, aproapene-am obignit, So platim stun’ cad ne grim Si ne eamintim ecu oe descru un sumtor complet S=A@BeC Cy = AB + (A4B)C= AB +(A@ BIC. ‘Yorn introduce © notafie noud fa relagia Jui C CO, =G+PC. G= AB reprezintdtermenal de generare, deoarece penteu A = = 1 tansportul se genereart fa nivel sumatorului complet. P= A+ B = A @ B represinté termenul de propagare, deoarece valoarea de | aplicaté pe numai una din intrrle de sumat va permite ca isi Ci, 4 ia valoarea intrci C, care astfel se propag numai prin acest hive! binar, Folosind aceasth notaje, vor scrie expresialogica transportului asociat, fiecdri ordi binar al unui sumator, dupa cum urmeazts Gy = AgBy + Gol Aa + Bo) = Go-+ PoC 242__7_CIRCUITECOMBINATIONALE __SISTEME DE ORDINUL ZERO - $0.0 Gi + PO, = G1 + FGo+ PLPoCo Ga PxCa = Ga +-P2G1 + P2PiGo + PoAPoCo AG C= Gat PaGiatPaPisGisat. +R aa Pay Rezulk expres asoeite ne crcite ev adéncime constant, Dei propagares transportului sa transforma Tnucun eal anticipat, in sensl ck Cy se poate call fy pporclel pena i = 1,...,n fda se mai astepta calcul mirinilor penirai ~1,...,1 act termenul de propagare a fost caleulat eu un XOR, atunci igiile sumatoru se objn pein Se POG ‘mn timpol constant egal cu timpul nevesar propagitil prinu-o structurl XOR&AND- OR&XOR necesart calculului succesiv al termenului P (in paralel se caleuleagX mai rapid termentl G), al transportulu C gi al sumetS. Exemplul 7.10 -Bevapile ce deseriu structura unui sumator de 8 bi sunt urmatoarele: Sis FBO}, pent i= 1,...8 P= Av @ By Gy = AcBi, pentrw Ch =Go+ PoCo C= G1 + Got PPC Cy G24 FAG: +APAGo+ APCs Cy = Oy + PiGa + PPG, + PLGo + PPL PoCo Cy = Gy + PiGo + PLPRGa + PLPC: + POPLPLPGo + PiPsPPLPoCo ‘ Gy = Gs + Ge + PGs + PPG: + PyP PPC. + PEPLPSPLP Go + PAPPAPSPPOCo Cr = Gy + PeGs + PaPsGe + PPPGs + PePPPGs + PoP: + PAPPAPSPaPiCo + PePLPOPSPLP PoC Cy = Gr + PrGe + PsGe + PrPsPeGs + PrPsPaPeGs + PrPePaP.PsOs + PrPAPGPPPLG, + PrPGPPP PLP, Go + PrPsPPPsPaP, Poo ‘in mod evident, structura sumatoruloi ev ranspon antcipat ete dominatd de citeuitelece caleuleaazi anticipat depisirea generatt de ordinele binare anterioare. _Evaluarea dimensiunil sumatorului cu wansportantcipat (STA) conduce la Sgra{n) = (08 + 9n? + 74n)/6 € Or?) {n cazul in care pentru o poartd XOR vom considera, aproape justificat, © dimensiune ‘dubli fai de o poartd AND sau OR [Omondi °94]. 72_Chevite aimetice = Ais... Ao Bis...Bo tg a i “+t 7 STA, ‘STAG STAg ST Ag ny ‘2 Ce Ce Co 44 Sales Fig. 725 Sumator co transport intinpit pe bloceri. La problemele ridicate de dimensiune se adauga si cele legate de fan-our-u! foarte mate presupus de realizarea concret a cireuitului STA, Fan-ont-ul pentra sem= ‘nalut Py este O(n), dupa cum rezuly direct din ecustii. Mérimea fan-our-ului, prin sarcinile capacitive foaite mari ce apa, poate anula o bunt parte din céstigul in adancime sealizat prin calculul anticipat al transportulul. Timpul de executie va.fi determinat na ‘numai de adncime ci side fan-out cieuitelor pe ctile crtice, Pentru numere reprezentate pe multi bifi aceastl variant& de sumator nu este practic, Diverse solu intermediare, optimizate conform condijilor de vitezX impuse de aplicatie, vor fi intotdeauna preferable Calea cétre 0 sotugie optimal Cele dout solu anterior prezentate, cea eu transport flinguit i cea eu calculul anicipat al transportului repezintésolui limits. Prima este minimalS ca dimensiune, {ar cea dea doua maximal ca vitezd. Ambele solu sunt rar aplicate fn practc® datoritk fapului cl sun depate de af optime, ‘Un prim pas cltre 0 soluie optima consté In combinarea celor dou solui ‘Sumatoral dem bi se parte In m module de r/m bi fecare. In interior fecteui modal se realizeazi un sumator cu transport antcipat, STA(n/m), iar module se conecteazlInlinuite pe calea de transport. Rezulté swmatarul cu transport antieipat pe blacuri notat cu STAB(n,m) Exemphal 7.11 ‘le un sumator de 16 biti care se impart n 4 module identice. Renal schema bloc din Figura 7.25 formack din patra sumatoare eu transport antieipat de cite pate bit. Dimen- 244__7_CIRCUITECOMBINATIONALE _SISTEME DE ORDINUL ZERO - $0.0 siunea acest creuitse poate calcula flosind ela: Seran(a,m) = mSsra(n/m) core in canal noscu devine: Ssran(16,4) ‘Adtincimea circitulu ese Deran(nm) = 44 2(nfm—1) = 10. Rezulté produsul Srap x Dsrqg = 3360.. Este interesant > 1; //ebr begin {carry, out} = int,+ ind; //eradd out = 32°B0 + carry; F1{4n2(18:0}, 16°b0}; //eone end begin {carry, out} = int - ind; //ersub ‘out = $2°b0 + carry: end @rproii: endease ‘endnogule ‘io. Cireuiteartmetice ost Structura interné a unef unititi logico-aritmetice surctura inte de circuit poate avenasocate mai mule organiza, In funcie ge modal in eae abordim descrerea formal a cteuitali se pot da organiz disince sagt suuctr’ interne. Am cxemplificat fn paragaful anterior prin doudoxpanizsi sabes aceleiasirhitectur. Ambele organizir au asoelate i oaceeai struct, ces Fjesentt ny continua ‘Cireuital ALUE poate fgindit ea 0 "fli" (lice) de ALU. Si dfinim strac- tu, ca un pri pas cre defnicea stractrii ALU. In Figura 7.34 este deseris srutura intent a ALU By. Semnificafia codurilor de comanda este dati in tabel din Figura 7.35. Pentru t= 11 intarea Lis = O er peira i = 0 intrarea de transport este ca = Fie. Rola fect circuit din eomponenfa ALUE este urmtorl alculeazi pe G; pentra a fi generat 0 selecteazi + poarta AND reslizeazd functia logied LiR si cite cireuitl de transport anticipat (prin MUX2, codul FF valoarea li) « poarta OR realizearhfunctialogicd Ly + R; sicaleuleaz pe P; penira afi generat cite crcuitl de transport anticipat « poarta XOR conectat Ja itrarea 4 a MUX realizear functia logit Li @ Ry si caleuleazk suma modulo 2dintre operanzi implicayi in operaiile de tip aritmetic {adunare,seadere gi nerement) + poarta XOR conectaté la intarile 5, 6 $17 a MUX, calevleac suma modulo 2 eu bial de transport receptionst de la cicuitu de generate a transport anticipat + MUX» selecteazk ca operand pe Ri; penins operaile logice binare si pentra adonare, pe Rf pentru scldere si pe 0 pentru increment «MUX, are rolul de a selecta Ia ieyirea O, una din fonefle caletate pe nivelal anterior. Codificerea funeillor a fost astfel Aleui% Tnedt esteprezetad variant cs foncie teqate oranda atv pO) 0268 —I lco— aisassreo jack DCD. folsit este cu ieirile negate, atc eodiicatoral seve reliaa eu cieuite 1 34.5.6.7.85 RAND (Conform teoremei De Morgan), eu tote avanajele, cunoseute, ce deivé din . san opine. (Circitel NAND are 6 reprezenare similar cu a circuit OR, eu i ‘~ egette of nti nefolsie sunt consideraie conectat a 1.) Fig 736 Rega at 230689 ‘Exempll anterior este tipic pentra o clas larg de aplicai ale DCD-unilor in egule air eu? segmente, Fecare segment eactiveat aprnde" =| trate fnefilorlogice complexe cu mai malte igi sHjze ul in mumecesolae pn sgeamced tenes EE ‘teorema 12 Orice fineye logical cu n inirtri gira iesiri, Frye Ba) {0,1 . sande 1; €{0,1) pentru i= 1y...,n, 5 poate realiza coneret cu un DCDz cu igirile imenate sim porti NANDz> conectabile la cele 2” iesiri ale DCD-ului. @ : Demonstratie DCD-ul caleuleazi toate cele 2" produse clementare de-n variabile, : adil toi mintermenii,. NAND-urile pot suma logic orice submauljime a mulimil pro- (i doselor elementare (chiar dac& o parte din intr2ri vor rimdne neutilizate),realizand astfel rice funcfic logic de n variable. Cele mm NAND-uri vor putea calcula cele m iesiri ale ‘iret asociatfunctiei f. © : Dimensiunea exponenfialé a circuitulut sealizat prin metoda anterior deseris snpidicd generalizarea ei pent orice functielogie8. Ea ve fi utils nomai atunel ctnd 1 dimensiunea eiméne in limite Gizic realzabile ih ‘Transcodificarea apare astfel ca o modalitate altemotivi de a specifica o funielogiek in cazal cel mai general. Intr-adevir, o funetie logict mu este decat © corespondenté ntre doud codur, eet de intrare gi cel de iesie dint-un circuit logic. ficienja acestel metode este comparabili cu cea care folosea, In acelasi Scop, riahiplexoare. Are ttusi avantajul eB, pentra funeyii cu mai multe ieir, DCD-ol din siructura fecirui MUX este realizat numai o singurd dati. aped | | ape || 2 ABCDEFG 1b ABCDEFG Yip 737 TensodientrBOD-7 : BCD -7 segment. a Viana culate cu iegirile active pe 0. seo penn Wea 7.3.2 Memorii fixe (ROM) ‘Un alt mod de a exprima o funcfie logicl este acela de a vedea corespondenja inte dout moljimi ca flind corespondenja dine o mulyime a locator de memories ruljimea conjinuturilorstocate fix in accle loci Se poate asf ajunge 1a concepral ‘de memorie fxd, sai de ROM (Read Only Memory). 262 _7_CIRCUITE COMBINATIONALE _SISTEME DE ORDINUL ZERO - $0.9 Definitia 7.15 O memorie ft cu 2" locapit de cate m biti, ROM, este formate dintr-un DCD, cu iesiri inversoare sim porji’ NANDz. Flecare dintre cele 2% inmard ale oricdrei parti NAND este conectabild la céte o iegire a DCD. Actualizaree conpinutului circuitului ROM se face prin conectaea sau neconectarea wnei intrdri de NAND a iesirea corespunzatoare a DCD. Inirtrile nefolosite ale NAND-urilor rain conectate la 1. © Exemplol 7.17 ‘Un exemplu de memorie ROM poate fi considera citcutul din Figura 7.366, In acessté figura ese reprezentat un ROM,,7 programat pent «'ealiza foneta de transcodifcare pentru sistemut de aigaj cu 7 segmente. La adresa 0000 este memorat ix cuvdnnd ‘0000001, 1s adress 0001 este memora fix euvntal 1001111, gi tot ag, ROMG-al se instituieastel intr-un circuit logic univesal. Enuziasmul ce ar trebui si msofease¥ observatin anterioard peste brusc in momentul in care evaluim dimensi vunea acestui circuit: Srose(n,m) = Spop(n) +m x Swanp(2") € O((m +n) x 2"). Folosind o variants optimal pentru DCD se poste aduce dimensiunea ROM-ului in (O(mm x 2), fri ase objine Inst o reducere spectaculoast a dimensiunii. Suntem obligayi si continom studiul sistmelor digitale cu speranga ci vom gisi solufii mai eficiente pentru realizatea funcpilor logice. Va trebui sx scp de spec- trul solusilor cu dimensiune exponenjiali. Suntem incurajaji fa acest sens de faptul ch utilizarea ROM-ului oferl 0 solugie cu timp de execu constant. Avem deci de unde ‘enunfa la vitezd, plitind astfel un pref convenabil, dup cum ne araté experienga de pind ‘acum, pentru reducerea dimensiuni ‘Memoriile fixe sunt utilizate gi pentru a stoca configurajii binare ce reprezinti programe sau date. Ele rman totugi nigte circuite combinafionale ce functioneaza ca sgeneratoare, transcodand adresa de intrare fn cuvinte binare.. Funetia propriuzist de Socare a unor evenimente nu o vor avea decat circutele cu cel pufin 0 buclé de reacje, studiate Tn capitolul urmitor, ROMCul fiind un circuit fark buel& este impropriu numit memorie. Acceptim in continuare aceasta denumite, oricdt de incoreett ar fi, din sagiuni ce jin de tradgile ce s-au impus in scurta istorie a circutelor gi sistemelor digitale. Aplicaile principale ale ROMC-urilor sunt urmitoarele: 1. realizarea circuitelor combinafionale complexe de mici dimensiuni 2, realizarea unor Functiineliniare memorate sub forma unor tabele de conversie 3. memorarea programelor sau a microprogramelor fn sistemele de calcul 13. Cirevite programabile 263 7.3.3 Matrici logice programabile (PLA) fectul dimensiunii foarte mari pe care-| au memoriile fixe poate fi atenuat ‘atunci cdnd se aplict tehnici de minimizare gi rezult reduceri semnificative. Efectul procedeelor de minimnieare depinde de conjinutul coneret al memoriior fixe. (0 analizd sumari a transcodificatoarelor din Figur& 7.36, relizate ca ROM-uri, june in evidengS mai mite posibiltii de minimizare a stuctur 1, Produsele clementare calculate pe ieyrle yp,y}qy-+- sts md sunt folosie Rezult& posibilitatea ce decodificatoru s8 fie muntai pagal realize. 2. Varianta cu isiril inversateareo dimensiune mai mic8. Se dovedeste, astfel, ui facilitatea de a putea realiza la fel de usor si inversu! unei funeiilogice. 3. Adiacente posibiletnire produsele elementare ofer’ posibilitates wiliztit unui rund redus de produse partate (ou este neglijat “ajutorut” posibil din partea produsclor "don't care”). Pornind de la observatile anteioare, de la experienfe bine asimilate gi de lao anumit& predispovifie, greu de explicat riguros, pentru anumitd subclast de circuite preferate” de proiectunj se poste defini ca ui un nou tip de circuit, consacrat fn liter~ tur sub numele de Programmable Logic Array - PLA (matrice logica programabil), Definitia 7.16 O marrice logied programabild cu m intrari, p produse si m iesrk PLAn gon este 0 memore fxd, ROMq m, cu DCD-ul programe, astfel neds nimat ‘maxinurn orlcavep produte pf folosite te realzarea celor rm funcii a ctor igire poate fi programaid adevdra sau nega. © fnte-un PLA exist tei nivele de programare: «© programarea DCD-ului cu un numir de produse, elementare sau nu, care de regul (2) este mult mai mic decat cele posible, p << 2", rezuliind de aici o reducere substangialt'a dimensiuni unui PLA fay de ROM-ul pe care-I echivaleazd «© programarea codificatorului, similaré celei de Ia ROM, dar realizat cu un numa ‘mult mai mie de produse (num&rul de intriri potensale in circuitele NAND este gal numai eu p) + programarea iesri pentru a genera funcjia, calculaté pe primele dout nivele pro- ‘gramate, sau inversul fn Figura 7.37 este prezentatl structira PLAn gyn» unde Tinie verticale ingrogate reprezinté AND/NAND-urile DCD-uluiprogramabil, nile orizomtale.ingrogate reprezinti OR/NAND-urile codificatorului programabil, iar XOR-urile de pe fiecare iegirereprezintS cet deal teilea nivel de programare, celal "potaritai” tesri (pentru X =O iegirea este “adevirata, iar pentru X = 1 iesirea este nega. DECODIFICATOR PROGRAMABIL ame » CODIFICATOR PROGRAMABIL T 1 7 x ul L PROGRAMAREA *POLARITATI" tnt w | Fig. 738 PLA Exemplol 718 Yom eo exemp varicose 7 segment, Fen aceasta reprezenitiii din Figura 7.38, we pews AeA “ Se pune problema: pein orice ROMs exis un PLApgya echivalent ce respect eondia p << 2°? Raspnel gues este: na Dar "Exist nace az un" pen of lel PLAval arf. Exit gan puns mana la ebare anterionsrd mad suprineton st foarte ute czar care negaltata aneron este valabild. " “en " ‘Vom spine de te tneepat en eal i ete ROMA cone dae sau pros grame este practic nl probablitstesc negate fe vali, Pen acest sta flores PLAvui ues pout Exist 0 fans tune cd se efcueo4 fini eu sotoral ROM. Da sit vom ditinge dou eo: |. exist funcyii cu un caracter preponderent logic, la care raportul dintre L-usi gi (-uri fn tabelul de adevir este foarte ie0,5 7_CIRCUITE COMBINATIONALE __SISTEME DE ORDINUL ZERO - $0.9 yr 413._Caesite programabile 268 ve be be 8d Sd d bed bdo Big. 739. Transco ificator BCD - 7 segment reslzat cu PLA. 2. exist funcji cu un caracter preponderentaritmetic a care raportl dntre I-us gi (O-uri in tabelul de adevir este foarte apropiat de 0,5. Intr-adovt,funcfia AN'Ds, spre exempl, este caracterizatt printr-un raport de 1/255 inte Lusi si O-uri, AND-ul este o veritabi func logic, la fel OR-ul, NAND- ul sau NOR-Ul, In categoria funcfilor de tip artmetic putem cita funcji XOR,, care pentru orice n este caracteriza de un rapor de 0,5 ine I-uile st O-urile din tabetal ce 0 defineste. De asemenea, Ia oricare din isirile unui sumnator de rbiftraportul fn iscufe este de 05. Este evident eéneluzia cl pentru realizarea funcyilor de tip numesi folosires PLA-uilor nu este recomandaté, deoarece numful de produse, elementare sau nu, im- plicateeste foarte mare, inegalitatea p << 2" neaviind nici o sansi de 6 fndeplinitt ar, pentru funcile de tip logic inegalitatea p << 2" este Tndeplinisé intr un nunairsurprinzttor de mare de cazuri. Aceast placutt surprizA nu are 0 justiieare perfect riguroast. Discuja pe care o facem este mumai o sugestie. Imensa majoritate 4 funcfilor booleene intr8 in categoria celor anterior caracterizate ca fiind aitmetice (majortaatea confgurajilor binare de 2" bfi ce au un numa echilibrat de L-uri si O- wi). Fanci aritmetice utlizate, pentru care aver, spre norocul nosiru,crcuite simple, sunt foarte pune. Rezult cB, print-o stranie si greu de justifcat optiune, proiectanfii de crete se orienteazX citre insignificant de mica subolasé a circuitelor eu caracter pur logic unc cSnd au nevoie de structuri complexe. 266__7_CIRCUITE COMBINATIONALE __SISTEME DE ORDINUL ZERO - so. CLC, Tr Fig. 7.40 Cireuit de tip arbore into forms degeneratl, Existh un mecanism, nedezvdluit ned celor ce caut’ explicaiiriguros formale; in virtutea cftuia complexitatea se manifest cu prepondereng& sub forma funefilor caracter logic, evitnd pe cele eu caracteraritmetic. Acest mecanism ascuns ne permité si uilizim cu sueces structurile de tip PLA pentru implementares circuitelor complexe 7.4 TEOREMA LUISPIRA Jocul dintre dimensiunea unui circuit $i adlncimea lui este cel care determint 4 Scei(n) x Deri(n).© © exprimare mai direct ne spune ci atunci cénd dorim cresterea vitezei de executie a unvi circuit rebuie si marim dimensiunea lui de mai multe ori decit a sporit viteza, Cresterea suplimentark a dimensionii ar putea i interpretati ca un.fel de "tax suplimentard de urgenta". Capitolul pe care-t Tncheiem abunda in exemple ce confirma conjectura anterior enunjatd. Parcurgerea capitolelor urmitoare ne va confirma conjec- ‘ura prin noi exemple care vor completa imaginea pe care ne-o oferil in acest moment rum investigarea structurilor combinajionale. : 210__7_CIRCUITE COMBINATIONALE __SISTEME DE ORDINUL ZERO - $0. Tip ") Din) (n) x D(n) ROPE Oy] Oa) Oe) RCPS | O(n x logn) | Logan | Ofn x 1og*n) r RCPA O(n) | 2x logon | Oln x logn) Fig. 743 Tabe sate de prezentare a perfomanjelor celor ei variante de calcula preface —_ a _TaAH——- Exemplul 7.20 Variantele studiate de rejele penira calculul prefxelor, RCP, se consttvie inten foarte bun exemple. ‘Tabelul din Figura 7.43 sintetizeazd rezulitee sermnificatve ale subseetiunii 7.15. CObservitn cl sola cea mai enti este gi cea care este caracterizatl printe-un produs Sto) x Dn) mina, ir sli ea ma apie we prodesl (>) x D(n) os sone (Com se incadreazt tn diseuia noasted prima soluie, RCP1, carscteriaats prinizan timp {de executie In O(n) $10 dimensiune in O(n)? Nu o putem include tn tabelaleneror steoarece pent 0 solu eu timp de execufie in O(n) existh o variant eu dimensi. ‘nea constani realize ca sistem de ordinul doi. O vor evidenta la momenta potrivt (seeyiunea 9.1). Alte exemple poste evienyia cititorul folosind variante de realizare ale suma- toarelor sau ale circuitelor de deplasare. Consecinja cea mai importanta a conjecturii enunjate este aceea c& un circuit digital este bine "sé nu se grabeasc’". Solusile lente par afi cele mai eficiente. O astfel de sugestic este greu de infeles in aceasti etap& de abordate a sistemelor digitale. Poate ‘ch abordarea arhitectural’, ce urmeazi celei structurale pe eave o parcurgem in aceastl carte, si poatd oferi argumentelimpezitoare. PROBLEME Problema 7.1. Desenaf sucnura concretd a unui DMUXs eu D = 8 si calelap-i dimens- Problema 7.2 Desenaj strciura uni DMUX folosind numa cireuite de tip DMUXy. Comparat-i dimensiwnea si adéneimea cu 0 soluie care foloseste numai cireuite de tip DMUXE. Problema 7.3 Profecajiun MUXs eu inrare E (enable), cave si axigure acivarea comandatd circuits, Problema 74 Proiecay un MUX, folosind nuinaicircuite de tip MUXa, calewlap-i dimens- sea gi adéncinea, pe care le compara ace praerclele pena inglemenerea ‘cu MUXE. ue i ‘45 Produsul S x D 2m sia 7.8 Care este valoarea minima a lui n deta care facepind, varianta ew adéncime Iperimicd@ MUX este mai mica deca eee cu addncine consiani2? ppoblema 7.6 Proecta un conparir decane de 2 bi ema 77 Prolecai wn comparator peri cuvint dem bi ex indict A > B, folosind ote conparaoaeelnentre penn cs de i bi. Cea variant optima ‘peli conan sola 11.8 Defi recursiv wn comparator de n biti pornind de la wn comparator elementar, Problema 7.9 Calcalaj$ sD pentru OPy extins serial problema 7.10 Calculai dinensiunea circuit’ CP, realiat prin regula de extindere par tel. Conparaicu rezultateleabyinue la problema anierioara problema 7-11 Desenasi cu porfi cirewin de inerementare pentru nunere Mriegi pocitve reprecentte pe 8 bik, Folosiforejeaoptivnala pentre caleulit prefxelor de AND-ur. Problema 7.12 Def recurs rejeaua de ip ROP. Problema 7.413 Realize calcula eectv penn mdrea Dropaln) Problema 7.14 Calculaji Free(n) Benar toate variantelede RCP si comentyifecil pe care- Jare asupravimpulu de propagere maxim. Problema 71S Cum se modificd civcnitul de incrementare th cazul Di care tn este necesard Inirarea de comand INC (cireuiad incrementeaza necondiiat)? Problema 7.16 Proiectji wn circuit de incremeniare cu iesire suplimentard de transport (CR), iliaebilt pentra exinderea copacitai de incrementare a circuit. Problema 7.17 Deducefi algoritmul de incrementare si structuracireuitul de incrementore prin partewlariearea uni sumator Problema 78 Desenaficitcuitul de inevementare gl decrementore, comondr cu senate! UD, care vealizeacd incrementarea pentnt I/D = O si decrementarea penira I/D = 1 Problema 7.19 Proiectai un circuit pentru estarea agli @ dowd configura binare de edie bit. Problema 7.20 Caleulayi dimensiamea unui sumaior cu transport ansiciper, ST, ce real: izeaad sunarea in tinp constant Problema 7.21. Consirifi un rottor stdnga - dreapta, CRS/Dy flosind un cireuit ORS la care se adeuga nuinai un circuit prin cave se modified Dito manierd conenabild codul de select al ror 272 __7_CIRCUITECOMBINATIONALE __SISTEME DE ORDINUL ZERO- $0.0 Problema 7.22 Si se prolecteze wn circus care s8 veaizeze comandetfunctile unui CDS gi {fuicile unui CRSYD, pornind de lawn CRS ta care se adeuga un minimum de create sup Problema 7.23 Desenafi un CRs cu adfncime logaritmict folosindcircite de ip MUXE. Problema 7.24 Calcul fan-oui-ul asociatinterconerimilor din structurafnaulfiioralu dln Figura 7.30 i propunep o solupe de inbunttopve. Problema 7.25 Incercafi sf gsi’ soluje mal simpla pentru problema transcodifcatoruyi penne 7 segmente ezolvardth teu cu wn PLA. Este fodrie mare sansa ca ea s&exisie. Dac e {si comumicay-o gi autora Problema 7.26 Aplica teorema lui Spina unui arbore degenerat de iret ANDeurl cx dou inurdr. Problema 7.27 Calculapi exact dimensiunea circutulul rezultat din aplicarea teoremel li Spira. Problems 7.28 Generalizais! demonstrat teovema Ini Spira pentru CLC-uri pum tei TESTE VERILOG Veriest 71 Defnji comportamental structural DCDE eu ieirle active pe zero Veritest 7.2 Defnyi comportamental si structural DODCs cu ieirile active pe zero, Vetest 713 Def senra ma DMUs ule active pe ee, flasin deft reca sivd a DMUX,. “ “om VeriTest 14 Definiti sirucuera unui MUXs folosind definifia recursivd a MUX, VeriTest 7.5 Defniticomportamersal si siructural CPs eu intr siesirile active pe 2er0. Verifest 2.6 Sse descrie cele dout organiatri ale ALU (prezentate th Figura 7.33 yin Figura 7.34) m scopul comparavii complexitiitcelor dowd varante. 8 MEMORII SISTEME DE ORDINUL UNU - SO-1 {In capitolul anterior am vizut cl nu se poate creste dimensiunea circuitelor peste anumit& limit decdt dec admitem sX menjinem complexitatea la un nivel eoborit. Complexitatea problemelor pe care trebuie s¥ le rezolv nu poste fi fnst limitatk la fel de mult, Va tebui, in consecing%, s& gisim cai de a permite realizarea unor structuri de circuit simple care sf poath secepta inducerea unui comportament complex. Un prim pas cltre gisirea unei solupi pentru cresterea complexitifi comportamentale este cresterea autonome! sistemelor digitale. fn captolul 6 ar sugcratrolul pe care-1 poate avea bucla de reactie in determinarea comportamentulti autonom al unui sister digital. Vom face tn prim pas in acest capitol introducénd primul nivel de bucle intr-un sistem digital. Vor fi definite astfelsistemele de ordinul unu: $01 Primol nivel de autonomie pe care-1 putem lua in considerare in acest capitol steel al autonome strié, manifestatt prin independenya parfial®, ie digital, fafh de evoluga semnalelor aplicateintrri sale. Evoluyiaiesirilor ci fi in continuare determinatk de evolujiaintiilor, dar siarea circuitului va dobindi o ‘parialé autonome. Cireuitele ce vor f studiate in acest capitol an importanpl foarte mare in structura sistemelor digitale, Funcjia principal& pe care o indeplinesc este cea de memorare, Un- cle evenimente, conf gurati de bi a intrareaacestorcircuite vor fi semmificative pentru ftarea circuitulu iar allele ne, Bucla intemf-i permite circutului st deceleze eveni- rmentele semnificative de celelate. Anumite semale la intraea circuitului se dovedese nesemnifcative deoarece efectul lor este amulat de semnalele ce se propagit pe buclele interne. Circutulfsi poate permite o anumitd “atitudine” faps de semnelete de intrare datoriti autonomiei conferite de bucla de reactie. 273 e 24 8_MEMORIT SISTEME DE ORDINUL UNU- s@ff} gy. Bucle stile /bucle intabile 25 Jn acest cpitl vom sivdin pe rind ‘+ buclele stabile si buclele instabile vor fi studiate la nivelul decodificatorului «i ementar, circuitului cu ‘mai simpli functie, pentru a pune in evideng’ dc i} Spur distncte de componamen: cel de instebiltate osllantd gel de Boca care pete funcia de memorare ; + structurleelementare de memorare sunt pezentate sb forma lach-riler ey mena al ltcvurlr eu ceas sa al latch de date : extensle sere ce permit elizareastuctrilor de memorare comantste de tal acta cesuli, sub forma bisabillui de tip D gal registrlu de deplaae| + extensile paralel vor permite introducer conceptelor de memorie cu acc aleator, de memorte adresabilé prin continu $i de memorie asociaind | + extensilesere-paralel propun sircura de restr, meeanisml de conectay ‘ig. 8.1 Cele dovi bucte ce se pot Snchide pest cel mai simply eireuit combinational: DCDE. ucla instabld inchisd peste un mumar impar de nivele inversore. b. Bucla stebil8 inehisl piste un numfr par de nivele inversoare. pipeline si procedeul decodificarti programabile. ‘Bucla care se inchide peste un numir imper de nivelelogice inversoare va gen- || ea.un comportament instabil. Intr-adevar, cicuitul din Figura 8.la, cu bucla inchist 8.1 BUCLE STABILE / BUCLE INSTABILE |} peste un singur inversor, are un comportament instabil la iesire. SH presupunem 8 “| = 20 = 0. Cu o inrziere dtd de rind de propagare a trnciiei poze, typ, Inchiderea unei bucle de reactie peste un circuit combinational nu se poate fast) jsirea circuitului comuta in unu. fn continuare, infares citcuituli devenind 1, iesirea cricum. Pensa obfnerea unor cicuite stabil aeste bucletebuie st satistack anumis| va reacjiona recomatand in 0 dupl umpul de propagare al irancjl negative, tne cerinjeminimale. Autonomia obginuts prin acest prim nivel é inchidereaunei buclod, Revenirea in stazea infill permite reinceperea ciluli, Cl del dolleainverséy vo reacje se va manifesta in doud feturi | easmite la iegire un semnal periodic, cu freeventa fose = 1/tpnat + tru, semnal ce suntritor } Sm Tote O 5 I si se refotoarce in 0 pentru a-sireincepe cilul perpetau. ‘+ en comportament autonom, partial independent de valorile apicateintririlor Cel deal dita iret reprezenat n Figura 1 re bucle inci peste douk = zp = 1, atunei yp = 0 determinand fixarea suplimentark a valori la iesirea ys care mde in 1. Similar, daca yy = zp = 0, atunci yp = 1 determinfind fixareaiesiii yy in 0. Deci,circuitul are doul stiri stabile, una pentru o = 0 iar alta pentra 0 Pe prima bueli, ce se inchide peste un numtr impar de nivele inversoare, sem- ‘Bucle minimale | lol se “intoarce”” in antifazi, cu valoarea inversatl. Pe cea de a dove buclé, cu un ‘ ; sumr par de niveleinversoare, semnalul se “intoarce” mn fz, cu aceeasivaloare. in ane in evidence! ri de comportamente folosnd 0 ‘Nom pune in evidené cele dowd tip ‘omportamente buch ‘acest capitol vom studia numai circuitele stabile, cu bucla inchis& peste un num par ‘inchisi{ peste cel mai simplu circuit combinarional studiat ia inceputul capitolului ttt poe ol mal sing eit combina aia "derek mouome Aca st cei ee pon sce lr nonenataaeg seen ‘+ o stave autonome partial indepencent& de configorajia binar aplicat& ined, | inversoare. Numéral par de inversoare induce wn comportament complet dferit. Dac {In acest capitol vom studia numai a doua categorie de circuite deoarece ele asiguri, px autonomia stiri, functia de memorare. . DDCDE find un eri ou ointrare gi oud isin vor exsta numai dout modo de a inchide o bucla de reacie ce-I cuprinde. In Figura 8.1 sunt reprezentate cele dou! ‘arian de esi peste cel ma smplu ict combinajonl. Diferenta esl Generator simplu de semeal cele doud circuit rezultate este dalle paritatea numruluidenivleinversoare pest care se fnhidebuca. In primul caz bucla se inche peste un namie impor de nivel Tnainte de a weee Ia analiza cictelor de memorare, von sna sci inversoare, far inca de al doileneaz, pest un mums par deniveleinversoare. “Fazellcaeu unui cireuit dn prima categorie, penta inelege mal ive efetele dstncfions cu cares inci cele dows buce confers aestoracompontamente fundamental fete eat le poate aves tcl inst 26 8_MEMORIL SISTEME DE ORDINUL UNU-- So, C b Fig 82 Exempla de bc ib elope conse generator de seal ep | tanghivlar de perfonnany saat, a, Civil. b, Formele de und | Exemplul 8.1 ech din Fig 8.28, ba cil peste ellis. Dek © = ates cls este desc ar 1, Baca deen exon pr cher pop | INAND’ Duck poara NAND descide, C= 1, tne se lant on compares | “oscil” al ites cca La iepies ova gona on semoal repre pet | ee eo fevers foe = 1/8\prn + ts). Parmele de nd ce Sexes ferjtona| circuitulu sunt prezentate in Figura 8.2.b, Procesul oscilant se declangeazii cu o tntiirziene egal cu 2tarz +tyzn. timp necesar propaga raaifie semmaluli din C pind a esirea| Parmeter cireuitelor (tensiune de prag, timp de propagare) nu permite objinerea unei Funcfite primului nivel de bucle Care sunt fnctile execute de cireuitele care posed o bud de eafie? Cee du comportanent ant evidenit func de arate mir de nee og joe inversoae pe bucl,dliiteazt net dou func simple: + eneraea unr fome de ands deptonghilr cu parame cedepnd spi de mult de tehnologia folositi pn sie 42._Strueturi elementare a 2_Survoturielementare 1» menfinerea, memorarea, une stiri stabile partial independenti de valoarea unor semnale logice. BBuclele cu un umicimpar de nivel inersoare nu pot fi folsite penta pre- uct logice, deoarece av un comportament ce ms poate friguos contoat. dati inhi, aceste bute au o evolu ce depinde excust de timp de propegare ai ponlor prin cae se Tnchidebucla este arial independent de fonejia loge acestor port Bulle cu un num par de nvele inversoure vor fi folosit, in configura cit smi simple, pera stocarea unor evenimenta ce pot Iva valori binae. In ambelecazur u se vor concepe stracturisi complexe pe buclele de reactic. Generatoarele de sermal dreptunghivlar Vor f folosite a atare ori de cite ori s¢ vor dovedi uilizabile. in eazul citeuitelor de memorare, strutuil simple objiute vor fi ssizate fn realizara tuturortipuritr de extensil. Din acest motiv vom stuia fn contin- tare nua ciruiele de memorar, porn de la structur elementare 8.2 STRUCTURI ELEMENTARE ‘Structura stabil iustratd in Figura 8.1b are si aplicati directe, dup cum vom vedea cu ocazia descrierii memoriilor, dar se va dovedi util relizarea unorcircuite care si posede intrari de comands a starii circuitului. Pe aceste intr se vor aplica sem- iilele ce vor permite comutarea stiri circutului din 0 in 1, sau invers. Tot in acesasti sectiune vom mai rezolva si o alti problema important: adiiugarea unei intriri care si te permitti manipularea distinct a informafiei referitoare la cur comut8 circuitul gi a informafiei referitoare la ciind comuti. Vom folosi astel conceptul de semnal de ceas (potat de reguliprin CK). Controlul sistemelor complexe nu va fi posibil férs decuplarea mecanismelor ce se referd la cum? de cele care se refer la c@nd?. tn final vom defini celula clementari de memorare: lacth-ul (de tip) data sau fatch-ul (de tip) D. 8.2.1 Latch-uti elementare Un cireuit de memorare va tebal ek posede intr I cae fs produc eveni- mmnele ce urea af memorate, De regu, un cre simp de memorare va avea intrare de set, activ’ pe 1, S, seu activa pe 0, S', si o intrare de reset, R sau R'. Priconveni, 9 sau comangt "memorarea” nul eveiment, ir Rsav comand "tres" lo Mat tei. pin set cet este asta stare I pin reset crcl ese aus in sara 0, de seme active pe | sa active pe 0 Tn ceciunea anterion am ada falc stature stable a un nam par de cicoiteinversoare conetate pe biel. Vom consult stile elementare de memo- fre, inti de comand porind dela sctrl cele mal simple ealzate cu prjile 78 38_MEMORIE SISTEME DE ORDINUL UNU - $0.1 82. Strvetr elementare 29 Fig. 83. Latch-urielementare cu foncje de semi:memorare. a. Bucla aeiwersoare AND. b, ucla neinversoare OR, Cireuite de semi-memorare Cele mai simple por’ uzvale ex un nomi par de nivele inversoare sunt porte AND $i OR. Primele dou strcturi vor folosi acest poi. fn Figura 8.3a iegirea unai AN'De este conectats la una din intr, Intrarea mas este folosil ea intrare de comand pentru eseareacreuitulu, Valoarea inaetiv pe aceast itrare este cea de "I". Intradevir,dacB pe aceast intrare semmalul este ingctiv, une igirea poate avea dous valor, ce vor specifica storeaeicuitulu, Iesirea ‘poate avea sarea "0", deoarece 1-0 = 0, sau poate ff starea "I" deaorece L-1 = 1. Dies intares de comands comutk in "0", ature igirea circuituli va tece n "0" gi va ‘imine fn starea "0". Comandareatreceri fo sarea "I" nu este posbilt pentru acest cireit. El va “yine minte pentu totdeauna” evenimentul ce sa produs prin ranzifia irri mn valoarea "0". Aver de a face cu un circuit de semi-memorare, deoarece na posedifunctia ce “uitare”. TT un circuit de semi-memorare este gi cel reprezentat fn Figura 8.36, unde iegirea unui OR, este conectatt Ia una din propiile intr. Cealali intrare a port este folositl pentra a comanda tranzita ier o in "I". Valoarea inactivl a semnalului de comands este, de aceast dat valoarea "0". Pentru “0” aplicat inti de comand, ciccuitul isi pistreaza starea anterior stabilitd. Prin comutarea inti in "I", iegirea ferent de valoarea anerioarl si varaméine in aceasté stare pentru toideauna, deoarece nu exist comand care sh genereze efetul complementar, Ar i absurd sK clutim aceastf comands atunc nd avem un seminal de comand codifict cu 1m singur bit. Intotéeauna una din valori este uilizaté cw semnificaja "no operation” iar czalalh valoare pentra a comanda execuyia nei anumiteaciuni, Circuit clementar asimetric de memorare ‘Semnalul "O” pe intrarea AND-ului intrerupe transmisia semnalului de "I" pe bucla circuitului de memorare, pe c&nd semmalul "I" pe intrarea OR-ului amerseazit transmisia semnatul de "I" pe bucla circuitului. Simetric, putem vorbi de amorsarea semnalului de "0" prin zeroul de pe intrarea AND-li si de extinejiazeroului pri "I" © 5 KY de pe intraea OR-ului. Comportamentul complementar al celor dou’ circuite de seri memorare, flecare capabil sil memoreze nural un tip de eveniment, ne sugereazd pos bilitateauilizti lor Impreun’ pentru a realiza un veritabil circuit de memorare, care si posede gi functia de “uitare”, Mai tehnic spus, un circuit de memorare trebuie sf poatt fi setat sau reset. in combinarea celor dova circuite anterior descrise, rezutd circuitul din Figura 8.4, Intrarea de setare (fie aceasta cea de memorare) este activi pe una gi este notatt eu 'S. Intrarea de resetare (cea de “uitare” sau de stergere, cum mai este denumit8) este activa pe zero gi este notati cu R'. Pentru inceput facem convengia ci nn vom cere circuitului fn acelag timp sf memoreze si si stearg’ informatia memoratd. Altfel spus, in moment nu vorn avea la intrarea circuitului situatia: R’ = 0 si $= 1. dntro astfel de situaie nei nu am putea avea "pretenjia” ca circuitul si se "composte” coerent.) Asitfel ‘© dack 5 = 1, atunci iegirea OR-ului va fi “I” (dupit un timp egal ou typ, dacs cealatti inirare este zero), indiferent de valoarea aplicati celeilate inti, iar aceasté valoare se propaga prin poarta AND fn tyr, dzoarece R! = 1, fixind pe intrarea OR-utvaloarea "I"; moment fn care prezenta sernnafului la intrarea $ ‘nu mai este necesar ‘+ dact R’ = 0, stunci iesirea AND-vlui devine "0", de asemenea iesirea OR-ului, ‘moment in care procesul de resetare este fncheiat intrarea poate reveni inl" ddooarece zeroul este menjinut Ia iggirea AND-ului de semmalul ce se propagi pe Duc ‘Structura rezultaté este un circuit complet de memorare.Tesirea oy comuttiin "I" pentru ‘$= 1, iar pentra R= 0 comutd fn "0". Denumirea consacrati a acestui circuit este cea de latch (10 latch: a zavori). in primul caz “1” este inscris in latch, jar in eel de al doilea cez informatia este stearst din latch. 280 3_MEMORIE ‘SISTEME DE ORDINUL UNU-- $0.1 s 2? pe Q 85 Latcheoriclementareconstrit folosind buele stabile ev un numtr par de nivel iver. soare. a Latch-l elementarsimetrie realizat ou NAND-uri cu itesile S" gi’ active pe zea, b Latch-vlelementar simetrcrelizat eu OR-ori cu intrileS sR, ective pe unu. Circuite elementare simetrice de memorare ‘Un prim repros pe care-| putem aduce circutului din Figura 8.4 este asimetriape ‘care 0 are structura sa $i consecinfele ce deriv& din acest fapt. Este, intr-adevar, supSrator cca semnalele de comandi si fe active pe paliere distincte. Vom putea solujiona aceste deficienfe simetrizind circuitul ( prima structura simetrict rezulth aplictnd teorema Tui de Morgan circuituui or. =o +S= (4-3) Cireuitut OR se ransom nie-un NAND gi douk NOT-uri, Primul NOT impreund cu circuital AND formeaza un alt ercuit NAND. Cel deal doiter NOT, cel de pe intrarea ‘S, poate fi elimniat considerand semnalul de setare activ pe zero, deci notat cu S’. Cir cuitl capi forma eel din Figura 85a. Vom mimi acest creuit larch! elementar cu intitle active pe zero Deoarece va fi strvctoracurent folosit vom nmi simple: Iateheul elementar. act se alic8teorema Tui de Morgan creuitulei AND in atcha asimetie rezultios dova sole. Inr-adevér,pomind de la transformarea: (oh + RY on = 0)- Rl se objine structure din Figura 850. Latch-ulobgnu este comand de semnale active pe unu, spre deosebir de cel anterior sensilla nivelulcoboct al semnalelor de pe int. Inir-un regim normal de funcjionare cele doutiegri au valor logice comple- ‘mentare, fapt ce justific& notarea lor cu Q si Q'. Convenim c& pentru Q Jatch-ul "iar pent Q! — 1 latch este reset, dec are nsrsk nc. este sefar pe valoarea "I valoarea 0. ‘Un regim de functionare impropriu se declangeaza dact se aplick pe ambele intrini semmale active (Se Tncearcit setarea si resetarea "simultana"), Totr-adevar, dact | $2. Suuetrielementare 281 [/serictura latch-uloi elementary nodule LATCH E(notS, notR, Q, notQ) input nots, noti outpat Q, not MAND WANDA (nots, noe, QD; NARD WAND2(notR, Q, not); endmodule S = Ri = la cireuitul din Figura 8.5a, atunci ambele iegii vor avea valoarea "I", ‘Similar, fn cazullatch-ul realizat cu NOR-uri pentru $ = R= 1 ambeleiesiri vor f in v. Ce credeti cd se va intimpla la dispartia sineroni a semnalelor active de pe ‘atrile celor dout cireuite de memorare? Nu ne putem astepta la'un comportament sevizibil pentra c& am dat 0 comands, tn modul cel mai evident absurd: am cerut celor out circuite s& memoreze in acelasi timp dow’ evenimenta distinete. Le-am eerut s& ueaci in acelasi timp in dowd staridistincte. Rezultatul acestor comenzi absurde este ‘mod evident imprevizibil, in sensul e& nu poate fi decis la nivelul analizei logice a ‘comportamentului celor dow’ structuri ‘Vom analiza cazul atch-ulujrealizat cx AND-uri. In Figura 8,6 embelor intriti lise eplicd acelasi semnal: 0 tranzitie temporars in zero. Indiferent de starea anterioard aici semnalului, ambele iegiri vor comuta in "I", La revenirea semnalului fn "I teanzija circuitolui se va afla complet sub controlul buclei de reactie, iar circuitul va ‘omuta fn “1” sau in "0" in Functie de asimetriile inerente ale structurl si ale incarctrit cectice la car este supust. Ne aflém fn fafa unui proces determinat strict de autonomia pe care circuitul a dobiindit-o datorts buclei de reacjie. Comanda incoerenté pe eare aprimit-o este ignoraté si cireuitul comutl strict conform structuri sale interne si con- ‘extului tn care funcjionesza, luate acum in considerajie tn cele mai mici detalii, Vom ‘bjine 0 tranzitie imprevizibila in "0" sai "1", starea in care va trece circuitul nu va fi eierminati de semaleleaplicateintrrilor Decuplarea lui "ednd” de "cum" la comutarea latch-ului este o altk prob- ‘ema foarte important ce va tebui rezolvat& pentru a putea folosi circuitul de memorsre tn proiectarea unor structuri complexe. Intrirle de setare gi de resetare sunt folosite Pentru a specifica dont Iucruri care se vor dovedi net distincte fn proiectarea sistemelor complexe: © cum tebuie si comute Jatch-ul, fn "1" sau in «+ dnd rebuie 63 comute latch-ul. Ambele “mesaje” sunt recepfionate pe aceleasi intrari, in'mod evident fn acelagi timp. si 282 &_MseMoRI SISTEME DB ORDINUL UNU 80.1 2. Strvtu lememare 283 SR ae nivel gtiv Ss CK)~ Rg sk ‘ | Q SCR an RSL \ , ° 2 2 » @ g a. y ' Mig 87 Latchal RS cu cess. a Stctura inten, anparent pe pail activ pe ® senna de cea, b Sino oi. Fig. 8.6 Comportamentl imprevizibil al latch-uielementae. Deosebit de util ar fi modul de lucru in care ar exista inter distincte pentru ase specifica, pe unele, "cum" comuti circutul, ir pe atele “cand” comuté circuitul. Pentru a indica cand a vent rimpul ca circvitl sf comute, vor folosi semnalul de ceas. notat cv CK. 8.2.2 Lateh-ul cu ceas Separarea cB pe care indicam modul fo care trebuie si com ltch-ul de calea ‘care indic momentul fn care comuti acelagi latch se va face adtuging 0 serie de por siructurilor anterior prezentate. Se va impune cu aceasti ocazie sun nou tip de semnal aplicabil unor ntti specifice. Acestseronal va fi semmnalul de ceas. Este un seminal reptunghiular periodic ce comth intce "0" si "I" gi invers. Semnalul de ceas, sau simplo ceasu, este folosi penir a matea in primal rind rimpul. Cele doud nivee log- ice itreeare comuté nu vor matca evenimente logice, ci scurgerea timpului. Perioada sermalului de ceas teprezint&cuanta de timp dint-un sistem digital. ‘Ceasul poate sejiona print-unul din palierele sale, declarat palier activ, sau printcumal din fronturile sale, declarat front actiy. Palcrul va marca impal into ‘anierd mai grosien, pe cAnd frontal va mareatimpul into manierd mai preci, Vom {ncepe prin afolos paler impulsului de ceas, definind lateh-ul eu ceas. fn Figura 8.7a este repezentat ltch-ul cu ceas construit poring de la latch-ul lementar, prin adtugarea a dout poryi NAND prin care semnalele S si RY sunt formate condijionat de CK, porind ée la SiR. Vom numi acest tip de cireuitlach-ul RS. © corecta utilizar a acest latch presupune stabiligares semalelor pe inrrile SiR (cu evitarea situale n eae S = R = 1) urmati de apicarea wanziicisemnalului de ceas in "1 (pe palerul activ). Ceasul valideaa astfel valorile S si R transferandu-le negate prin cele dovs port adaugate Ia intrarealatch-ubi elementar. SSpunem ca latch-ul cu ceas este transparent pe duratapalierulu activ al ceasu- ‘ui, ta sensu cd orice modificare a semnalelor pe intitle § gi R, atunei cénd CK ste resimfith la iegirea circuitului. Alfel spus, pe durata palierului activ al eeasului ecuplarea dinte “cing” si “cum” nu este realizatd. Circuit! poate comuta oricand pe Cc TT a b Fig. 89 Latch-u1D (dat) realizat in tehnologie CMOS cu inversoare si pori de ransmisie. Structura, b, Simbolut logic. 8.2.3 Lateh-ul D (© solujie brotali pentru evitarea situajiei tn care $ = R= 1 este aceea de® interconecta intririle R si $ prin intermediul unui inversor, caz fn care intotdeauna ele ‘vor aves valori complementare. Rezulti structura latch-ului de date realizat cu porti regenerative, sau mai simplu: larch-ut D, reprezentaté in Figura 8.8. La fiecare impuls de ceas, in latch este stocat (zvordti) valoarea de pe intrates D. Circuitul este fn continuare unul transparent pe durata palierulué activ at impulsului deceas, entru care comanda de neoperare (no operation: mpul valoarea de pe intrare. ‘Acesta este prejul pe care-t plitim pentru o solufie simpl8, dar nu foarte elegant, pe care ‘am dato problemei eomutiii impr faut (CK = 1): Buca se deschide, iar iesiea Q in valoareainririt D, print-un mod {e{onefionare combinational Gn absenja baci’. Prin reinchigere bucei revenirea cea ‘un O,valoaea ier este apliat&primoluiinversor.Iesirea Ig va mengine valoazea tinaitea retnchideriibuclei datorittsemnaluhi cae se propaga pe buclt i va imine peschimbatl, cel pun, png la 0 nous comandi. Cepacitoral de pe intarea pimului inversor are rolul de @ menjine valoatea semnalului in acest punct pink cnd ea este “eonfimaté, de semnalul sosit de la ieire, prin poarta de transmisie, la efnchidevea bocki, “nema” vali de pe intrareprimuloiinveror este cea care permite “zivorirea” corcti a valrildorte, Atenfie! Print-o corecta proiectare a distribusei semnalelor CK 51K’, sk nu mat prea mult pe aceastd memorare de tp inertia ‘Variants de atch ew port de transmis este realizabi cu un numir de compo- sente mult mai mic decitvarianta care foloseste port regenerative, 8.3 EXTENSII SERIE Conform principio de stature enufat in Capitola 6, um prim pas in clyierea uot stl mai complexe fl constiuieexensa seri, po care o vom sxersa com n cad sserelor de ordinal una. Struct pezenate tn sefiunea anerioark tor fi conetat in serie pent ase obine comporamentecenrolbile eu © mai mare Zeuratee. In prim nd se vor obfine elute ce vor comutasncronizate eu frenurile impusurilor de ceas,evtinduseastfeltanspaena cruiteor pe dra palierelor2c- Se ae impulse de tes, Acest fp va permite un contol mai igre al fneionsi intimp a sisemelor digitl, Vor puza fi efectos fear fel de neexecutat cam ar f spre expla deplacre serial seven una sid bi ‘Vom aorta in acess secu ei probleme: |. principiul master-slave care ne va permite si construim cicute bistabile ce co- ‘muti sincronizate cu frontul activ al impulsului de ceas 2. bistabitul D (delay), uilzabil ca circuit de intariere 3. registrul de deplasare serie ca una din aplicayile cele mai utile ale bistabilelor de tipD. Memon SISTEME DE ORDINUL UNU- $0.1) 3” 5, front activ «Too cK RSL it t : SCR I RSP CK Q Q RSL > E Q b # a @ Fig 830 Pricipiolmasterslve. a Sutra intent abseil ce como pe frontal negtv = alimpulsui de ceas, by, Simboal loge 8.3.1 Structura master-slave Un circuit care comutf sincronizat cu frontul activ al impulsului de ceas va fi tun circuit care asiguri trecerea informatici de la introre cbire iesireacircuitului, Ar8 2 permite nici un moment manifestarea fenomenului de transparenjé. Vom folosi, dec, oui cireuite conectate fo serie, tansparente pe paliee distinct. Structura master-slave este prezentatd in Figura 8.10a tntr-o variant ce foloseste lach-uri cu ceasul activ pe paierul de "1. Pe palirul inal al ceasulu, primal latch, latch-ul master, este transparent si permite stocerea unei valori ce corespunde valo- rilor logice de pe intririleS si R.Pe palierul coborét al ceasului cel de al doilea latch este ‘transparent (primal find netransperent),fapt ce permite "vérsarea” confinutului latch- ‘lui master in acest al doilea Isich denumit slave. Modificarea iesiii se produce !a traziia din "1" in"0"a ceasuli. Deci la bomeleintreguluicireittranzitie este sezizatt ca produciindu-se drept consecings a frontul’negativ al impulsului de ceas. Vom spune ¥ acest bistabil RS comut cu front negati, sau c& frontal negativ este frontul activ al ceasului Problema decuplarii tui “cum” de “cind’ este rezolvati cu acuratefe maxi folosind structura master-slave. Acuratejea nu este inst absolut. "Momentul comutiii" nu poate fi defniti ca un moment. El va f definit exun interval de timp compus din tei iris (vezi Figura 8.11); + toy -estetimpal de set-up, inervalul de timp dinaintea fnceperiitranzitei active a ceasului fn care intrrile S si R tebuie sl fe stabile + ty dorata frontull activ + th - este timpul de hold, imervalul de timp dup tranzipia frontului activ tn care valorile SiR trebuie menjinue stabile pentru a se asigura 6 comutare sigur8. Deci momentul comutirii este de fapt un interval de timp dat de suma teu tty tty Fg Extensi serie 281 Fig. 8:11 Intervalele de timp specitice comutii covecte pentru tranaijiasincronizaté eu frontal setiv al ceasuli in car inte S si R tebuie sf fie menjinute constante dacf dorim ca bistabiul sa comute sigur i starea indicat de valorile acestor intr, Decuplarea dinte “cind” si “cum este rezolva, afl, ce aproximayia unui interval. in tehnoogilecurente de reaizare a cireitelor digitale, acest interval de tmp este aproape negijabil in port eu perioada a semnautui de cess. 8.3.2 Bistabilul D Bistabilul de tip RS, anterior prezentat, suferi tn continuare de deficienja ‘ranzitieiimprevizibile a activarea simultand a ambelor inrari, Evitim (nu soluyiondm) aceasti problema similar modului fn care am definit latch-ul D: interconecttm imtrrile 3i R prin intermediul unui circuit NOT (vezi Figura 8.12a). Rezulta bistabilul D (cu D de la delay -inrziere), pe care- distingem net de laich-ul D (cu D de dra). Bistablul D este un circuit folost pentru stocare temporari sau pentru realizarea tunel intérzieri de un tact. Vom vedea fn continuare e% aceste dou funciuni reprezint’, {nt-o mare misuri, acelasilucra, Pentru a ilustra efectul de fnrziere pe care-l introduce bistabitul D vom folosi formele de unda din Figura 8.12c, din gare rezultfaptul cf forma de nda de la iegireabistabiJului D urmareste cu © ntirziere de un tact (interval dintre os es dh DEF RSEF RSE-F cK s py 4 9 xt Reeth Rex? w 5% our. J ae SRE tT iT has —S , ot Y D » de ig 814 Regnel de deplasare sei penton hi, RS. a. Stveara b Simbot logic. pool 8.3.3. Registrul de deplasare serie Da Q Clasa aplicatiilor curente ale bistabilului D in domeniul extensiilor serie 0 con- ary stituie registrul de deplasare serie, sau pe scurt regisirul serial. eT Ts Definigia 8.1. Registrul serial de n Dil, RSq, este format dintr-un RS, conectat serie Fig. 812. Bistabilel de tip D (delay). a. Strctura, b, Simbolul logic, e. Forme de und ce | ‘ustes2K ntezierea, de. perioadl aimpulsului de cess, introdusk de bistabilul de tp D. 4 Fig. 8.13 Bistabilul de tip D (delay) realizat in tehnologie CMOS, cu inversoare si posi de ‘uu bistabil D, ambele sineronizate de acelasi CK; RS; este format dintr-un bistabil detip Dio [/seructura bistabilui D; module D_FF(CK, D, Q, not); input CK, Dj output Q, not; wire vi, v2, 43, v4 WOT KOTL(D, wt); WOT KOT2CCK, ¥2)s LATCH_RS LATCH_RS_H(CK, D, wt, w3, uA); LATCH_RS LATCHRS_8(w2, w3, w4, Q, not); ‘endaodule . fn Figura 8.14 este reprezentat un cepistr serial, cre, conform defnitieiante- tioare, este realizat prin interconectarea serie a unorbistabile D comandate de acclagi ‘eas, La fiecare impals de ceasbistabili de tip D se ineareX cu valoarea stocatt in bist tilul anterior, cu excepia primi bistabil ce se incarc& cu valoarea de pe intrea I conginututultimlui bistabil se pierde Ce sar fi intimplat dacS in Toe de bistabili D ar f fost uilizate latch-ri D? Datorté transparengei latch-urior,informagias-ar fi deplasatprint-un num de celule 290 &_MEMORIT SISTEME DE ORDINUL UNU- 50.1 dat de durata plirulu activ al ceasulu. Aczastt propagare necontoatt este Mlocuig cana faster guros determina de comvtarea pe front a bistabilelo D. Singura conic care tebuie st fe indeplinitl este ca ty al fecHruibistabil st fe mai mic decd timput de propagare prin bistabilul anterior. Aceast condi este cu prisosing ineplinitl acy bistbilele sunt realizzate tn aceeasi condi tehnologic, deoarece impul de propaga, tp, print-un bistabil este aproximatv egal cu timpul de propegare prin douk pont, it timpal de menginere este sproximativ jumatate din tip de propagareprints-o poart © problem foarte importants este cea a distribute semrallui de cess, Teo. relic, ceasultebuie aplicatsineron celorn bistabili din registal sere. Prectic, el exe éistibuit prin intermediul mai multordrivere, deoarece neste, de regu, mere eau foarte ‘mare. Timpul de propagare prin acestedrivere nu este idetic. La aceasta se mai edaugi Snrzerileintroduse de conexunile de lngime varibill prin cae se distibuie cea. Projectarea unui registru de mare dimensiune va trebuificut eu mare atentie pentru a se indepin in et mai bune condi ceringa de apicaresincron a ceasulu 8.4 EXTENSIA PARALEL Continvim explorarea cireuitelor de memorare cu investigarea strueturilor oferite de extensia paralel fh clasa sistemelor de ordinul unu. in aceasté categorie vom ‘isi circuitele care au drept funciie principal memorarea, Circultele investigate vor fi: 1. latch-ul paralel dem bit, folosit pentru stocarea unui cuvént de n bij 2 latch-ul adresabil de n biti ce va permite scriesea independent& a bijlor Int-un latch parale] 3, memoria cu acces aleator, sistemul tipic de stocare pe care se bazeazi realizarea ‘uturor functilor de memorare. 4, memoria adresabila prin conyinut, © memorie in care apare un foarte simplu ele- ‘ment de preluerare ling’ fiecae bit stocat 5. memoria asociariv, singura care se va dovedi o veritabilN memorie, bazati pe ‘cele douf structari anterioare. 8.4.1 Lateh-ul de n biti Prima extensie consideratd este cea trivial, in care se "conecteazd” In parale] 1 latch-uti D acfionate cu acelasi impuls de ceas. Sistemul are n intriri de date gi tot attteaiesiri, La fiecare impuls de ceas, pe palierul activ, o configurajie binard de n biti este stocatl fn Jatch-ul paralel de n biti, La. Cu riscul de a repeta excesiv un anumit lucra, amintim c& lateh-ul de m bifi este ipulsulul de seas. Din acest mouv aplicajiiie iui sunt Jimitate la cazurile in care wanspaarenja nu impieteaz% asupra funcsionsilsistemului in care este nglobat 44 _Gxtensiaparalel 21 WEB Oma DMUX, a bin é 1I I I CKD] fee cD DL DL DL Anat -++Ao Q Q Q Om Oma 5 Fig, 8.15 Latch-ul adresebi 8.4.2 Latch-ul adresabil Latch-ul de m biti permite numai serierea tuturor celor ra biti deodatt, In cazal fn care se doreste modificarea bit cu bit a configurajiei binare din latch se impune © alk structurd. Evident exist si situatia intermediars in care se cere modificarea unui rnumir oarecate de bifi din cci m ai sistemului de stocare. Vom rezolva pentru inceput azul limit ce presupune un suport de stocare, in care se poate modifica orice bit intro rmanierd independent, iar accesul la conginutul stocat este realizat nestingherit la oricare 1 bifi, in care se poate Inscrie valoarea de pe cefe p intrari de date. 22 8_MEMORI SISTEME DE ORDINUL UNU-$0.1 8.4.3. Memoria cu acces aleator Memoria cu acces aleator, RAM (Random Access Memory), este etcuitul de ‘memorare cel mai important. E] este tn prim rind un suport de stocare,funetile sale de memorare find dintre cele mai rudimentare. Dar tocmai simplitatea sa functional, acompaniati de 0 corespunzatoere simplitate structural, au promovat memoria RAM ca principal dispozitiv de memorare in sistemele digitale. ‘Termenul nu poate fi Tnfeles decit find apel Ia istoria realiztiriécispocitivelor de stocare. Primele memoriifolosite in sistemele de celcul au fost memorii cu acces setial (ceva de genul registrelor de deplasare serie). La a n-a fregistrare se putea ajunge ‘numai prin aceesarea celor ni — 1 fnregistriri anterioare. Drept consecin{& impel media de acces la o fnregistrare era proportional cu jumatate din capacitatea de memorare a sistemului, Lucrurile se petreceau pe undeva similar accesului la 0 inregistrare reale izati pe o band de casetofon, unde pentru a accesa o melodie trebuie st deruliim banda pind ajungem la inregistrarea doriti. Un acces ceva mai nestingherit este permis la Inegistririle pe discuri compacte unde se poate arcesn direct a n-a melodie, iro dine oarecare, sleatoare. ‘Memoria cu acces aleator este deci o memorie tn care dack este accesatslocayia i, atunci urmtoarea locajie accesabil’ poate fi oricare. Medial tehnologic in eare ne-am format in ultimele decenii este dominat de conceptul de RAM, iar noi nu vedem nimic special in faclitatea de a accesa locale unei memorii fn orice ordine. Darin momentul in care aceste dispozitive de memorare au apirut, ele au realizat un salt funcjional att cde mare inet termenu de memorie cu acces aleator s-afixat, se pare, pent totdeauna, Structura general a unei memorii cu acces aleator - RAM. Din punct de vedere teoretic, letch-uladresabilrepreznta © memorie cu acces leator: reziné isi deficienja principala de a avea prea multe conexiuni, Numirul de conexiumi erste inacceptabin pe masura ce capaciatee de stocare crest, deeaece aver © ieste penta fiecare bit memorat. Se impune inteducerea unui circuit prin care 8 putem selects simplu oricare bit stocat. Alfl spas, va tebui rezolvat acces la cite similar accesuhui la seriere, prin imermedia unui noma minim de conexiuni. ‘orn defini stractura de principiu a memoriei RAM pornind de la structura atch- uli adresebi,clrvia-i vor aduga un multiplenor, MUXq, pent selectarea la o este de un bit a conjnutulu oredr latch, Rezult stricturaprezentata in Figur 816, unde ieyirea ecru latch este conectatS lao intrare selectatd a MUX ce areca bij deselect acsag if cu care se selecteazd iegiile DMUX-uli. Latchul care primeste CK-ul de 1 iesirea O5 DMUX-ului are iegizea coneetatl Ia intrarea iy a MUX. Astel, nile Agoiy.--+Ao Vor seleta, pentru 0 valoare dati, advesaacelusi latch ath la srire et : ig SUM munie ran de adresare, sau, spl adrese, Penira ca seriereainte-o celulé de memorare (ltch-ol de un bit asocit flecarsi adres) sis fad fr8 a se perturba consnutulakr celal de stocaretrebue respect 0 tetcie nay 84 _Bxtensia paate! 293 WET E Ola bi T 1 KD CKD DL DL @ pout} mux, Fig. 8.16 Principio de structurare a unei memori eu aces aleator (RAM). viguroasi seevenfare a comuttri adrese ga semnallui de WE care comands screrea, [Reguli foarte important: comutaree adresei nu se poate face decatimen interval de timp Ta care seminalul W B¥ este inactiv. Acest interval trebuie "protejat” de 6 riguroasé definite a timplor de set-up gi hold ai biilor de adres fal de tanzigile semnalulai WE". In Figura 8.17 sunt repezentat restictle ce trebuiese respectate pent 0 coretd modifica a confinatului memoriei RAM. Semnalul WF este activ pe 0", Pentr: tof cei n biti de adresi a fost desenaté o singuré forma de und’. Uni Big vor eofnata din "0" tn "1" ar ali din "1" "O°, stabifzdindu-se fy "1" say"O", In zona hasuraté a acestor forme de und orice comutare ete permist, Dar adresa trebuie Si fie stabi un timp de set-up tonintea tanzjci negative a semnelului WB” si trebuie ‘i mai ramand stabil timp de hold, dup fontul posterior al semnalului de srire. Restrictia este foarte naturalé: comanda de screre nu poate fi data decdt dupa ce a {fost sabiltdlocapia la care se scrie! De asemenea, nu se poate schimba adresa in pul operaie de sriere = mu ne putem rzgdndi asupra locayiel tn timp ce scriem tiga! Pentre a fi sigur ed adresa nu se shit prea devreme, o vom schimba strict dup ce semnalal de screre a disput. De ce wy ofacem "deodat” (sic!) cu sermalul de seriere. Penta cl inginerese, proceselesinerone ra pt f controlate. Eroarea ineren aiclrel sincroniiti poate avea orice semn. Pentru a 0 evita pe cea eu semn negativ (rodiicarea prea devreme a adreselor) vom impune un timp de hold mai mare decdt ace eroae posiil8. Timpit de set-up side hold sunt determina si de procesele de repay ‘De ce nu sunt resritile la fel de mari pentru comutarea valor de pe itrarea de date, DIN? Datele pot comuta gi pe durata palierului activ al semnalului de seriere, 294 8_MEMORI SISTEME DE ORDINUL UNU- S0.1 we DN (a teu Fig.8.17 Forme de undl ce defines relaile frie sermnlele de ntrare la homele unei memorii RAM. cu condija de a mine stabile un timp ée secup fae de frontl posterior al WE Iimradevar, pe Gurata une screr conjnutu uni celule de memorare se poate schimibs de mai muite ori, totul este casi ne hotirim asupra a ce vem si scriem cu un timp suficient de mare tnantea termini seanahali de seiere. Latch) D, oda slectat poste bescila de mai male ot insite de a stbiizaconynutl, dato de pe intra (vezi Figura 882). Procesu de cite se poate desfisura ex msi potine restrict deoarece este un proces "pasiv” caren determin8 schimbin in eoninitl memori. fn cezo citi te- tue se aver numat "Yabdare”ajteptind ca valoarea conju in locaia Selecta sie seletat prin intermedia! MUX de ctr. Se defnete pentru ite timp de ac- es, fa ng impal necesar propagiitcosuiu adessior pin MUX. Propagarea pe cll Sclectate este oticum mai mics iar datele se presapune a sunt stabil in lteh-ur in momenta eitini. Struetura prezentata permite cities confinitoli memories imedist

. Semnalul RAS" este folosit pentru a selecta tnreaga matrice de memorii. Fiecare rind de cipuri este selectat prin semnalul CAS" asociat, Circuitul DMUX, este folosit pentru a demultiplexa (distibui) semmalele CAS cite cele g linii de eiperi, Semnalul WE" este aplicat ruturor cipurilor deoarece nu va fi at fn considerare decit de citre cipurile care au primit pe intrare semnalul CAS". Rezulté ci «= semnalul RAS’ funetioneaza ca un semnal de selectie a matricei ta intregul et «© semnalul CAS} functioneazi ca un semnal de select a linieié din matice. ‘Modul de functionare al matrcei de cipuri este comandat de sermnalele $1, 50 dup cum urmeszi ‘51.50 = 00: sunt selects cel (na ~ p)/2 mai pin serniica bi ai adres pentru a fi inctrcay fn registrele RL ale cuturorcipuilor $1.50 = 01: sunt select urmatrit (n ~ p)/2 big a adresei pentra a fi fneBrapi fn registela RC ale cipuilr de pe linia indicata de An-1y--+yAnep § selectath de iegirea corespuncitoare a DMUX-1ui 81.80 = 10: este slectatl advesa de regenera. obtinitt de la un erent are mumira e in Oa (2°*°71/2 ~ 1) pentr a select toate lille dn fecare cip DRAM s190 ste 0 configurajie de cod nefolositi. 308 8 MEMORIL SISTEME DE ORDINUL UNU - 80.) one wo OF An pase in oat MUX f= pax 7 Be OR anya octane L— BP ARGnapyriniyyARo cast mi si $0 Fig.8.26 Matice de cipnri DRAM format din rcoloane de cite cipuri, Un DMUX distribuie semnalul CAS", prin seleyia realzat de cei mai semnifeativi p bil adresei, ctr cele ¢ lini le matricei. Incirearea adreselor de linie gi eoloand este realizathprinte-un MUX entra trei configura binare de (n ~ p)/2 bi flecare. Cea dea tei intrare este folosité pentru selectarea adresei de regenerae. s4_Satensia pare x08 Orice cictu de acces la memore folocese primele Gout stiri anirir Hate. ‘Coa dea tia stare est folosit in intervalete de timp in care memoria nt ee folosit, ‘Gmnidnd disponibilé pentru operajia de regenera. .Proectaniul sistema Sn care Inemoria este inclisl va tebui sf se asigure c toate cele 20-9)? valor ale ade de regonetare ARq-py-is:+-yARo a0 fost folositefnt-un interval de timp c€ m0 depgeste 2ms. Civil de regenera poate eonjine mumai semmnatel RAS" (RAS only, dboarece numa Tncrcarea asresei de line este importants, adres de cola nefind ttlzad pentru regenetare,decarece ms se mesic sav citesteconfinutl une anuite Toca d= memorie penta af necesardealctarea uni anumitecoloane. in schaina din Figura 8.26 nu an fost reprezemate eventvalee crcite de tip tute are ebuie folosite stunt cng aumirul de cipuri, tre care un semna este trims, tste prea mare. Cel msi vunerabil semnal este cel care se aplicd pe fiesare itraze de adres, Geoarece adresa ese cistibuit cite toate cipurile si viteza ou care comuts sfecteacdtimpul de acces la merorie, Dup cum am vizut, serie de timpi de set-up fi bold sunt raportai a comuaze blr de adres8. Nu ese Ia fl de critica comaares semnalului W ", care, chiar dact este conectat gi el Ja intrarea tuturor cipurilor DRAM, poste 8 comutat fn "O* molt insnte de apariga CAS-ulu i poate fi dezactvat "leer" fn ara civil propcivais de acces la memove ‘Uneot si semnalul CAS’ tebuiebatferat,stunc end reste foarte mare, Eval unea corecti a necesitii buffer o putem face numsi cunoscind capacitiile de in- ttre aleciparilor DRAM i apabiitatea de curent a isiilor circuitelor folate. In moe evident, eu et crinjele de vitezi ale memeriei sunt mat mar i necestatea buffer ai tste mai mare. Capacitile de inter alecipurilor DRAM se Tncarc sau se descarci tnairepece sau mai inet in funcie de valoarea curenult la care sccasth opraje se sfetueat ‘Nu lipsits de importani este si alimentarea fn eorent continaw a lcd cip DRAM, Consumul de corer al memeorei DRAM erste smnifcativ in momeniul acce sul. Cererea subd de curent na poste fsatiafiut cores de oconexiune care "aduce" courentul prin-an conductor de fa borele suse de alimentre In curent contin, Von Componenta inductive ineenté acest conductor "se opune” unei vara rapide & carenuli suplimentar pe eae circuits il solicit. Temporartesiunes de alimentare a sipulut DRAM va scicea dramatic, riseénd si perturbe fundamental functonare ci- tuitului. Pent a no surpinde urea de aimentare gi conexivnea ede ea cu 0 cerere Subitl de curet, va trebui constuitso sori local, de eapacitae mica i fir pete ‘marin ceea ce privest stabilitatea. Vor constitu deci un rezervor tempor, conetnd inte pina de Vpp al cipal pins de mas al ciplui un cordensator eu 0 component inductivl ft mai mic. Acestcondensator se vo ineea reatv lent tre dood citar de mnemori, pent a putea debit rep, “dela fa foul, euental necesarcomtrlor din momenta cihilei de acces. Vom num acest condensator. condensator de deci- ‘tare. Kot ts poate nexpucat ca nine aela de a eecupla ta Has wana apie ae tensini de alimentare. ‘Un numar mai mare de cipuri va treboi de asemenea “deeupat” folsind un 310 8_MeEMoRN SISTEME DE ORDINUL UNU - $0.1 rezervor intermediar Inte sursa de alimentare a sistemolui gi lecare circuit. Acest rez. ervor intermediar va fi un condensator de decuplace de valoare mai mare care va asigura ccurentul de incircare pentru condensatoarcle de decuplare asociate cipurilor. Astfel de rezervoare locale de curent, sub forma condensatoarelor de decuplare se vor organiza, in funeyie de dimensiunea sistemului pe un numar corespunzitor de niveluri pent a asigura o bunt alimentare a sistemului, Exemplal 8.2 Si presupunem cl se cere si se proiccteze structura logic unel memetli de 4M-cetey folosind cipuri DRAM de IM-bit. Pentre objine cuvinte de & biti se vor conecta tn paralel 8 cipuri de un bit Pentru a se objine 4 mega-cuvint se va tealia o matic eu 4 rlnduri de cipuri pentru ef fiecare cip conjine un mega-eavint (de un bit. Revd structur reprezenaté in Figura 8.27, Memoria este adresati cu un cuvdnt de 22 de bij Abstyo Ao, dine care: + cei mai semnificativi doi bi, An: st Aue sunt folosij pentru selectarea rindulu de pur prin intermedi sempalului CAS" demoltploxatprintr-un DAU Xs «= bili Ais,..., Aro sunt folosti pena selegia de Hinie gi sunt inesteai i tote cipurile la ranzjia negatvia semnalului RAS? «Dili Ap,-.., Ap sunt felosji pent selects de coloan gi sunt incireai la tranzite negativé& semnalului CAS’ numai in réndul de cipui din care se va citi octet adresa ‘SermatulS, de comand a selecteicelor 10 MUXE, trebuie afl eomatet inet st poutt ‘respect rigurostimingul necesarunei corecte increta adrese in cipurile acceste. ‘Simbolul logic asociat memoriei de 4M-octei este eprezentat in Figurd 8.24, Acest ‘modul ve pueefflosit co tare in proiectarea une aplicai concrete, Secvenfaren corec «2 semnalelor de comandl se va putea face cu un automat ft. ‘Am considera, pentru acest exempl, 8 regenerarea ete relizal sub comands strcturit care uilizeazaceasth memeie prin itr de In IKeadrese succesive, Rezultaul aestor cits este ignorat Adresarea intretesutii a unei memorii RAM in exemplul din paragraful anterior am folost biti adresei nto maniers foarte ‘ordonati. Nu este rau sk facem astel ori de cite ori acest lucru este posi. Vornrealiza un sistem care se documenteazi, se testeaat i se depanesz’ usor. [Nu trebuie si pierdem insi din vedere cl cei 22 de biti ce codificaw adresa putea fi conectaji fu orice ordine in schema pe care am proiectat-o, Acest lucra este posibil \avoriva fapuwiwi oa atat tu seriere cat st 1a cite bili aareset au aceeast semnificafic. Conyinutul memoriei nu va reflects ordinea in care noi am scris la adrese succesive, dar acest lucru nu va impieta asupra functionariésistermului. 84_Extens ia parle an Dh Dl Dk Dh Dh Dh Dh Dh wey Lo 1 io 1 T TT TT it T it iti ic H i | oo Tit im feLELAG A OB OB ||| Bo, thos bos bor Bos bos tl 7 Ir Aa pwd 4 [wanes Ss T F 7 CAs Alg,.--s Ato Ag, ..-) Ao 2 Din Dh Leas I B48 wy DRAM de 4MO Lowe Jones i” t Op -sOe Fie 227 Ekmpl de nonere DRAM capa de Ace eo ip de vn 32 8_MEMORI SISTEME DE ORPINUL UNU - $0.1 [Niciodatt nu vom abuza de aceat liberate, dar de cite ori o vom putes eve fee in vanajl noir va fi bine sho facem, in exemplul anterior primele INClocafit se af fo primul rind de cipai, deoarece el este selectat prin CAS"0 activat de valoarile Az: Azo = 00. Dacé utilizatorul folosesteoctei stocag in primil mega d= memerie,atune! nasi primal rin de cipi vafi solicit ' presupunem inst pentru demuliplexare semnalului CAS" am fi fost cei mai puin semnifcaivi bi ai acres: Ay, 4g, Atunci adresele suocesive sar fi afl in rinduri de cipur fete, Adresare local succesive,curents tn aplicaile tnnole, ar solcita asl cipur difrte, Pot fglsteo muljime de avantaje acest mod de adresare,numitadresare intretesul ‘Un prim avantaj consti tn distibvrer mai uniform a energie disipate fn max uricea de ciptri DRAM. Dack este accesat un interval mai hing acelai nd de eieuite acestea se wor fnclzi mai mul fafa de ceelalte. 0 aéresreintreyesutaevitd 0 ate de situate slicing mat uniform crcl ‘Un alt evantaj const In reducerea“Trecvengei medii Ja care trebuie reincircate capaciile de decuplase ce asigoraaimentare local a cipuritor-Intervalul media din tre douk accesiri find mai mare, refacereapoteatialus la bomele de alimentae ale fcr ci se face in condi mal puinresrcive ‘Adresareantefesuth prezint gi avanaje ahiteetrale ta sistom, Dscutaea lor depigest cadrulacesta vou, ul proiectirit de 8.4.4. Memoria adresabili prin continut (CAM) Este are memoria RAM 0 memorie? Intrebarea pare justificaté daci ne gindim la faptul eX dacd vrem s¥ stoctin inte-um astfel de dispozitiv nomiirul 2743, atuneé fl vom serie la adresa S483, spre exemplu. Dar va trebui s jinem minte adresa la care Iam stocat! Decl nu suntem scutiti de functia de memorate. Memoria RAM se dovedeste afi numai wn spariu de depocizare, in care puem sioca anumiteinformayit pentru regdsirea cefirora trebuie sd memordm locul stocdrit (adresa). Denuimirea de memorie pentra memoria RAM se dovedeste pe undeva improprie, Vom incerca in sceast sectiune sil prezentm un dispozitiv cu o functionalitaie mai apropiati de ceea ce ingelegem a A funcia de memorie. 0 fntrebare urmatoaree: se adreseaz mai natural unoi dispozitiv de memorare ar care este valoarea proprieijitP a obiectului Q? Spre exemplu: céi ani are George? Proprietatea este “varsta”, iar obiectul este "George raspunde la 0 astfel de inttebare ar fi acela de a constnui un cixcuit care rspunde 1a Invebores 84 _Bxtensia parle 3s Ps D, ee KE] | ERD 1 a L Do Dr cy CKD] | [eKD Q' Lr VY Qa D 40% Do cK Cells CAM A0; Fig. 8.28 Celola CAM. a. Sirscturacelulei CAM pentru un euvint de 4 bi. b, Simbolul allcelulei CAM de 4 bili, CAM unde se afta obiectul 0? ‘La aceasti inirebare se poate rispunde in doui feluri © obiectul Q nu este inregistrat x memorie © oblectul Q se aft stocat la adresa A. Observi ca memoria este interogath folosindu-se numele, Q, al obiectalui ctu © astfel de memorie a fost denumith , abreviatk: CAM (Conten Addressable Memon). Celula memoriei CAM. ‘Structura memoriei CAM confine celule de memorare asociate cuvintelor men- crate spre deosebire de RAM unde am patut asocia celula unui singur bit, Diferenta este important si este datorati faptului cd entitatea minimal este de aceasti dati cuvantul ‘memorat, cae va tebui comparat cu cuviintal ce interogheaz memoria, aia 8_MEMORIL a tunel celule de 4 bifi. Pentru fiecere bit existé un latch cu clock. Ceasul este aplica Dsye--Do ae substtue cir gi se realizeazi continuy, fird a fi necesaré o comand’ special (ca gf Celula CaM 0 citirea in cazul memoriilor RAM statice). Pentru interogarea celulei au fost introduse ti schemi cireuitele XOR, care Tmpreuni cu circuitul VAIN Dy formeaza un comparator de identite, Iesirea comparatorului este "(” dacd intrarea de date coincide cu continoty} celulei, Alf iesites comparatorului este "0". inae A0a WE Celula CAM A0s Structura de baz a CAM Pentru 2 realiza o memorie CAM vom folos mai multe celule CAM Ia care vom = ia ciruie de acresare gi de vaidore a esi, Result o stucturd de tip eel din Figura 8.29, unde a fost eprezentat un CAM de 4 euvinte de bij, Pentru sciee, fiecare celui priest eemnal de ceas pin itermediol unui DMUX cae disibuie sem: | nal de seriere, WE, conform adresei Ay, Ap, | ‘Adres la cate se aii cavntl cfutt este indicat prin bit AOs,-.-,AQg tt 6 form’ necodificats, Fieeare locajie de memorare (celulf) are asocit un bit distinc. 1 ack cuvantt cutat se alt stocat in memorie, atnei ce} putin una din iegiile AQ, 74 comut fn "0", ir iegitea M (match) ia valoarea "I". DacK in memoria CAM nu se ‘aff stocat la nici o loeafie cuvantul aplicat inteirii de date, atunei M = 0 (not match 9 deoarece iene totrorcelulelor sunt "I" ‘Atenjie! Acelai cuvdnt se poate afta stocat fn mai multe loaf ale memori CAM. De sci rezuth necesitatea de a atociafecarei local cite un bit de adres, Tipie penta memoria CAM est faptulcX structura sa intern confine in eca celal de memorare, pe Ting§ crcuitele de stocare proprizis, i circuit de prelurae: local. in procesul de interogare sunt implicate un numtrimens de circuit de pretcrare cares rsh pall dc cian celle caren et oes conga 7 Celula CAM 2 A Ae Cetuia CAM 3 A0a we Dinard aplicata pe intrare, Memoria CAM este, astfel, o imensi masini paralelé foarte Abts -rdo a0 asi simp. logsn AO In s22- ACS CAM cu ase ‘Memo: ‘Uneeri, cdutarea unei configuraii binare into memorie CAM se face folosind numai o parte din bigii configuratiei binare c&utate (pe ceilalyi poate nu-i cunoastem)- = ‘Vrem spre exemplu si cliutim intr-o astfel de memorie daci exist numele complet al lunei persoane al caret prenume este gneorghe, Dace in memoria CAM existe numele. 4 gheorghe.stefan, atunei memoria va trebui s& anunfe, prin activarea unei adrese, acest apt, Pentru ca acest operate si fie posibil& webuie si existe facilitate de a ignora Ia Mig. 8.25 Niemoria CAN. a Srucwra wei memo de-< x deziue, i, Simboiu ogie at unt CAM de n ewvinte de ete m bit. 316 8_MEMORIL SISTEME DE ORDINUL UNU - $0-1 care o pare din continutl iecrei celle, Alfel spus trebuie si avem posiilitatea de ‘a masca prezenfa anomitor bij, pentru a nui lua in consider a compararea cu datele de peintrare. Schema logic uneicelule de CAM cu masca este reprezentati In Figura 8.30a, Dist; reprezintd masca. Mecanismul de iterogate a calle va trata diferent bi stoca fa latch-urileceluei. ‘+ Dack M, = 1, atunci bitol 4 din celulé este luat in considerare gi este comparat prin XOR-ul asoeiat cu continutul Iatch-ului ¢. Poarta NAND este deschist de cltrebitel de maseare si rezultatul anticoincidenfei este transferat negat Ia iesirea portii NAND. ‘+ Dacd M, = 0, atunci valoarea de pe intrarea D; este ignorath si bitul stocat in latch-t é al celulei este ignorat. esires port NAND este forjati pe "I" de citre bitul de mascare, fapt “interpretat" de poarta NANDs ca o testare cu succes a coincidenyei pentru btul ce trebuie ignorat. ‘Simbolul logic al memoriei CAM cu mase8 se va completa eu intriile necesare aplicirii migtis Myst, ++». in Figura 8.30b este reprezentat o memorie CAM cu ‘masca de-n cuvinte de céte 2 biti. Organizarea intern a celulelor nu este deosebiti de prima variant descris’, Exemplul 8.3 ‘Si presupunem o memorie CAMyexq 60 masci. Conyinutol memariei este vemitor: o11100211010100 1010002101011103 1111002000011010 1000110000003100 (0001010000103010 (6001010111002010 o101000101101010 400110101010300 Incepind cu celula zero. Le intrare se aplic& masea: ‘Mj... Me = 1100000010101010 si cwvintol: Dis..-Dy = Wrszzza0r0s}a02. in x se noteaz3 valorile nesemnificative al btlor de pe intrare, alate pe pote mas- cate, Va rezulta adresa de eye: AO; ...AOa = 00001010. spunsul memoriei CAM presupus dou match-ui, marcate prin cei doi bi activi te adresa de iegire. Este afl justifit, prin acest exemplu, modul de couificare al adresei de iegre. ee | 84 _Extensia paralel 317 CAM cu mas vo AO na Ae Fig.£.30 Memoria CAM cu masc8. a, Structra nei celule de 4 bij b, Simbolol logical unui CAM cu masct, 318 8_MEMORI SISTEME DE ORDINUL UNU $0.1 ‘Cum am putea objine un rispuns unie de la © memorie CAM? In exemplul anterior am obfinut dou rispunsuri. O posibilitate ar fi aceea de a faloarce ea rispuns prima locayic 1a care s-arealizat match-ul. Pentru aceasta este sufcient ca pe iesiile de aGresi st fe conectat un codificator prioitar cu n intrit, CFloyn- Cele logon iesri ale scestuia vor indice prima apariie a configuratei chute. In exemplul anterior, daci AO; = i; (vezi secjuea 7.1.4), atu’ iegtea CPs folosit va indica yoyo = O21. 8.4.5 Memoria asociativa (AM) Forma cea mai naturali de aducere aminte este cea care presupune ssociativ- itatea, Referitor fa un fapt ce trebuie reamintit, este evoeat un fragment, iar prin aso- ciativitatetntregul fapt este reconstruit. Asociativicatea presupune regasires informaici cu ajutorul unui fragment din informafia respectivs. Intrebirile la care raspundem prin asoctarivitare sunt de tipul: (Cum se numea dommad brunet cu care ne-am fndlnit aseara? Rolayia dintre inregistriile realizate pe un anumit suport poate fi coz folositi pentru adresare, pentru a ciuta anumitd inregistrare. Si presupumem c& o inegisttare este de forma: NP Pei Ph unde: NV reprezinté un nume iar P; sunt cele x proprietifi ce-i pot fi asociate. Mai mule Snregistriri se vor organiza sub forma unui tabel cu mai multe coloane, in care pe prima coloand se vor afla nume, pe a doua valori ale proprietati Py gi tot aga pnt Ja ultima ‘coloant, pe eare se vor afl valorle celei de a n-a proprietifi Inspectarea acestui tabe] ‘se poate face dupa valorile aflate in oricare dintre coloane. Putem pune mai multe tiputt de inuebitr ( prima categorie ar fi de tipul: 1. Care sunt vaiorite pe care le iau proprietdjile asociate numelui NI? Pentru a putea rispunde la aceastd intrebare avem nevoie de o memorie CAM mascabila in care fiecare inregistrare si fic fecuté Tato celul de memorare. A doua facilitate presupune si{ putem clita eu o masea ce permite numai “secerea” numelu, a bitilor asociagi zonei fn care este scris numele. Mai apoi, este util posibiltatea de acti intregul conjinut al colulei. Dar numele Ni poate apirea de mai multe ori in tabel. Atunci intzebarea ar trebui reformulatt pentru a se putea rispunde univoe: a. Care sunt valorile pe care le iau proprietajile asociate primel apart in tabel a ‘umelui NI? © alti categorie de intebari ar fide tipul: 34_ Extensa paral 319 2. Care sunt numele caracterizate prin valoarea PI pentru proprietatea P;2 De acesti dats, masca va trebui sf permitl trecerea bijilor corespunzitori coloanei fn cate se aft valorile proprietiii F ‘Unmitoarea intrebare poate f consideraté o generalizare a celei anterioare. 3. Ce valort ia proprietatea P; pentru valoarea PI a proprietapit Pj? Penine a satisface cerinjelefunctionale sugerate de interogatiileanterioare prop- ‘anem modificea celulei CAM cu masci, conform reprezentirii din Figura 8.31a. Au fost addugate driveretistate care permit citiea conginutului celvlei daci HO} = 0. Driverele sunt tristale pentru a se putea conecta fn parale isirle tuturor celulelor, atunci end se structureazi memoria asociativi de n cuvinte de vn bili AMmsen (Associative Mem- 79). Se objine modulul prezentat in Figura 8.31b, unde: « iesirile Om-1y-+ Oo $-au obfinut prin conectarea fn paralel a iesirlor fectrei celule S «+ intririle BO'.;,...,20) reprezintt intrivile de activare 2 driverelor celor n. celule de memorare, Celelalte conexiuni au seraificasia din Figura 8.30 ‘Memoria asociativi definitl prin structura din Figura 8.31b ate urmitoarele ‘moduri de operare: «+ sctierea care se efectucaa similar memoriei CAM + cdutare (match) va activa iegitle AO, care conti, pe poztile slectate prin mas- care, bij identeien cei de pe itvare « citirea permite accesarea conjinutului uneicelule de memorare prin activarea unei singure intriri OB «+ 10 operation (echivalenti cu cdutare). ‘Se poate imagina gio structurd in care scrierea si se fact! numai in locaiile permise de rmascl (vezi Problema 8.9). ‘Modul de utilizare a memoriei AM presupane accesul in dous "etape!" + clutarea unei configura linare, specificate pe intrrle de date, intr-o zond delim: itatf prin masc’ rea continutului Tntregii celule indicate pe iesirea de adese in eta in tre situaiidistinete: anterioaré, = configuratia binart ciucati nu se aff stocat in memoria AM, caz Tn care fesitea M nu se activeazi, indicind faptul cX iegirile de date nu au nici 0 semnificatie 7 x0 §_MEMorat SISTEME DE ORDINUL UNU-S0-1 s4_Extensia para a : Di Ms Da ih Dk Dy , | f \ i cK: Le) 1 icy : cK D CK OD a Dd CKD 1 4 a 9 Ig_ 9} el d | WE. é S Ss g 0 | Apts Memorie asociativa O4 : 5 . M Om—1s-++100 a 3 L- eat WE, i DactssBo Mass | +] Q | Apa Memorie sociatva OA 5 | flow A M a we i , A 4 zz Memorie ascciaive | Fe Arctovverdo AO ga ty- 4A fn EO 4-1---- BOs | DMUX, PE, | | M | a Tegire valida : b b Om=1y+++500 * 7 ig. 8.32 Utlizarea memoriei asociative Fig. 831 Memorie asocativa, a, Celua de memore asocaliv,b,Strctura de baz . = 322 8_MEMORM SISTEME DE ORDINUL UNU-S0-1 = configurayia binarl cutatl se afl stocatl thtr-o singur’ celul de mema- rare, fapt ce permite identificarea adreselor de iesire cu intrdrile de activare a iegirlor de date: AO; = Oj, pentru i in Figura ??a este suficient = configurayia binar’ clvtatK este siti in mai multa celule, iar pentru citire ‘vom accesa numai confinutol primei celule (pentru a utiliza 0 regula simple), ‘caz in care va fi utilizatsistemul din Figura ??b, unde codificatorul prioitat, (PE) ideotifick pozitia primei celule in care match-ul s-a realizat, iar DMUX- ul decodific’, condifionat de valoarea lui M, adresa vizata spre a fi citi O,...m— 4s ‘Atenie! Conexiunea prin PE si DMUX nu reprezinti o bucld, fapt evident prin analizarea structurii interne @ MA. Memoria AM se dovedeste a fio veritabili memorie, deoarece ne permite ca, stiind o parte si refacem introgul, aga cum se intimpli in cazul memoriei naturale, in care o amintre este fntotdeauna declangatt de un proces de reamintise partial. Nici un ‘mecanism de memorie nu ne permite s& ne reamintim ceva dact am uitat toul. Orice ‘nerebare este posibilf numa dac’ o pare din rispuns este cunoscut. La memoria RAM, Sntegul conginut era transcodificat Intro adres care trebuia memorat& altfel. In cazul memoriei AM se foloseste adresarea dup un fragment de confinut (fapt pentru care jn multe fucrari memoriile CAM si AM sunt confundate) pentru a se localiza Tntregul cconyinut. De multe ori memoria RAM este accesatt utilizind © anumitt reguld, de obicei simpli, pentru a ajunge la conjinutul cdutat, Dar atunci cdnd regula nu este posibild, fn sensol cf realitatea in care ciutim este prea complexd, singura formi eficientt de _memorare este cea asociativl. {nto memorie AM nu controlim strict locul de stocare, ca tn cazul memati RAM. Acest fapt conferii memoriei asociative o flexibilitate mai mare in utilizare, Nu tebuie si ne mize, din acest motiv ,utlizarea din e slructuri in aritectura noitor sistemelor de caul Bitul de memorie AM este incomparabil mai mate consumator de arie pe Si. acti un bit de memorie RAM se poate reaiza cu un singur tranzistor, pentru un bit de ‘memorie asociativa sunt necesare un numa de componente cu un ordin de mrime mei mare, Acestefort structural: ° ‘ce mai mare pe care 0 au aceste ‘este din ce fn ce mai posibil, datorti procesului, In derulare ine, de crestere cextraordinar’ a densitati de integrare pe Si ‘+ este din ce in ce mai mult fructificat de mecanismele interme ale sistemelor de calcul, sprijinite de reconsidertni conceptyale din ce in ce mai puternice. Ecija memorieiasociatve fn compartia eu memoria RAM poate fi proba si de umatoerea observajie.intr-un RAM, el mato singurd celul este wilizat int tn cick de aces la memorie. Ini-o memore asociativa, operajia de match activeazt toate eluele, Aria ocopatd deo memerie AM este de 10 20 de oni mai mare, dar cefciena utilizar este de m ori mai mare (unde n este muumtirul de cuvinte stocate). Pe scurt,efieiensacreste de O(n) ori la AM fapl de RAM. Problema eseniald este accea de a gsi bune potrivii intre conceptele ce fundamenteazé-funcfionarea sistemelor digitale si funcfia de memorie asociativs, 8.5 EXTENSIA SERIE-PARALEL, Extensia serie-paralel in cadrul sistemelor de ordinal unu propune in egal isur8 atitcircuite noi (registral) cit gi tehnici speciale (mecanismul pipeline). De ssemenea, funcfiirealizate deja in configuraji mai simple sunt reconsiderate In forme ‘optimizate; este cazu] memorei asoctativ, introdus’ ca extensie paralel In SOl, care va fioptimizati, in acest subcapitol, ca extensiesexie-parael 8.5.1 Registrul Regiateleste unl dnt circitle cele ma flo n gins strctiraree sisemelor egal. Apoape tl se spin, n conceerea gt realizaren unt! site Sig pe suport fei de rele de regite.Regial va fun circuit cae vaavea si lan mal mule feng, El stcheoe,sincrniceaud,cupleae sa lene conga binare de diverse dimensun, Ponderea pe cae ou func btvior Iisa varied Ta fonte de alee Definitia registrulut ‘Simplitatea structural a registrului, precum si modul foarte riguros controlat ée comutare a stiri Iu, 1! vor recomanda drept o componenté central in structurarea "scheletulu oricarui sistem digital care depaseste o anumiti complexitate, Simplitatea ‘este principala calitatea pe care o vom exploata in aplicatii. Sa nu minimalizim deci bbanalitatea defniiei ce utmeazd. Definitia 8.2 Registrul den bij, Rp, este format prin conectarea mn paralel a uni Ry cu un bistabil de tip D (delay). Ry este format dinar-un bistabil de tip D. © in Figura 8398 exe repezenat structara unui regista de il. Aplicarea tn orale a seminal! de cess permite comuiare sicron a auturorclulelor (i mAstra in care acest ler este realmente posi), Reps imprumuts dela cella din care este format i propietatea de creit de tari. La eres unui registra vom regi Intotdeaun configaraia bina de antares cu o peroad de cas ‘tenet No tebe onfundat eistul den ii cu Ith de n ii, Ambele of fost pentru a sto o configura bina de mii, car aseminarea se oprete ci Deena tesa ese Gath de absenairansparene! la episr, Regs cont Pe fonnl activ al imple de cea, pe lng atcha poate modifien stare orcdnd Pe durata paler activa cesuli. Ne apopiem de slr! capitluli ce stadia 38 ne 8 MeMoR SISTEME DE ORDINUL UNU- $0.1 $5_Extensin wie paale! Inet fo { ~ cK D cK] [D CK D CK 1 7 7 7 er per | | per DEF 1) eg Ld ae be na [ot 9 Q Q a On Te be eK . 1t / out ~ TLS RSPaxm cx. Re x | . lg ® Yo Fig. 834 Registulsere-paralel a. stryctura.b, Simbotu logic. Fig. 8.33 Registul de- bij, Rp. #. Strucur. b, Simbolal logic. sistemel digitale cuosingo bucks. In curdnd vor fneepestinchidem so »dova boc Pentru ca cea de a dova bud si funcjioneze coneoabi vom prefers onchidem peste sisteme netransparente.Efectl comenail date de impolsl de ceas va f forte previibil ine proicetareasistemelor complexe foarte limpede. De ce reprezintregisirlo extensie sere-paralel ese evident l este format din conectaea in paralel a unor suctriextinse serie (bistabilul D ce are o struct’ de tip master sive) Dut Dyan Do sin i i Registrl serie-paralel 01 ot . ome MUXE > te F teu: ‘Acesea vor fi cazrile fn care se apc mecanismal de stracturare de tp pipetne, pin fragmenttea convenabilé a CLC-ui fn dout irate eu adincimes ct mai epropias, Inte cele dout circuit asfel objinute se intercaleaz% un registr pe care-! Yom nui registru pipeline, In Figura 8.36b fost reprezetatrezutaolstrvcturii pipeline pe dou nivel Registra pipeline de bi Rezulturmacarearelaie pentru calcul frecvenfei maxime a casi in eazulstruc- tun pipsine Sema: = 1/9ta + moz(terer terea) + ta) Este evident ct fpmaz > Smaz, iar inegalitaea va fi cu att mai profund& cu cat vom reasi si imparjim CLC-ul fn dous phi clt mai egale ca adincime. Unal doile rites de fragmentare fn CLCI si CLC2 est acela al numruli de conexiuni ce rel te cele douk subciruite. Pentru anu adiuga un registra prea i, BP, este acfionat cu acelagi semnal de ceas, mare va teu si alegem un loc de "thiere” printro zona cu un numtr rezonebil de mie e conexiuni Procesul de structurare pipeline poate continua dac& inegalitatea dintre tore si timpii asoctati functiontrii registrelor persist cu o pregnan(& sup&ratoare. Vom stopa procesul atunci cind prin aplicarea lui ar rezulta o cregtereneimportanta a freeventei de functionare. ipeline infelegem mumarul de tacturt dupa Definitia 8.3 Prin latenfa unei structuri pipeline dnfelegem numeirul de tact care la iesire se objine rezultawul asociat unei anumite configurajit binare aplicate ina. 6 Latena este suplritoare la amorsarea functionarii unei structuri pipeline. Primul rezultat va aplrea dup un anumit num de tacturi, Dar, odati "conducta” arior- sat, la fiecare tact se obfine la iesire un nou rezultat. Frecvenga eu care se objine fiecare nou rezultat este frmer > Jmaz- Dar, atentie! Rezultaele “curg” cu aceastl frecvents cd, mumai dach sistemut este alimentat riunic. Orice inuerupere in funcjionarea sirueturii pipeline va necesita la pornire reamorsarea “conductei primul rezultat find afectat de latent sistemiulu. 85 _Extensia serie-parael 29 Deci, 0 a dovs conditie ce uebuie tndeplinit pentru a decide structurarea pipeline, este legati de caractersticile fuxului de date la intrare. Un flux continua de date determin’ 0 functionare eficienté, pe efnd un flux cu discontinutali cufente nv poate fructfica avantajele introducer registului sau registrelor pipeline. Dac pentru fiecare configurayic binaré utid,structuratrebule reamorsata,atunci ea se va dovedi inte til, Vom concepe structuri pipeline numai daca aplicajia ne permite si le alimentim ritmic. 8.5.3 Decodificare programabili realizatt cu CAM. Structura memorisi asociatve se poate optimiza dacX se introduc doud restrict, acceptabile in majortatea aplicailor curente. Prima restrict se refer8 la unicitatea numelor din coloana N. Restiefia de tu face dou inregistdti sub acelasi nume este natural pentru majoritatea aplicatilor Aceast restritie simplific& modul de intexpretare al iesirilor de tip AQ;. Vom putea senunja la codificatoral prioritar, care selecta, impreund ca DCD-u, prima locafie in care match-ul a fost reaizat, ‘A doua restriyie pe care 0 acceptin este aceea de a pune numai intrebiri de siput . Care sunt vaiorite pe care le iau proprietaile asociate mumelui NI? Acestfaptprivilegiaz& coloana numelor in raport cu coloanele in eare sunt inscrise pro= riety, Consecinga cea mai importnté este aceea ci numai coloana numelor va mai trebul stocatd Int-o structura de tip CAM, deoarece clutarea se va face numsi dupa ‘ume, nu si dup’ proprietfi, Propriettite vor putea fi stocate tn simple latch-uri, Le Cu aceste dou’ restricfi, memoria AM se poate realiza prin conectarea serie a dou structuri de memorare. in Figura 8.37 0 memorie CAMmxn este folosith ca ‘ecadifcator pentru o matrice de latch-uri organizata sub forma a'n latch-uri de g bij, Ly. Matricea de latch-uri este identick cu matticea de lath-uti a unei memorii RAM ‘organizaté pe n linii si @coloane. Spre deosebire de RAM, in strctura din Figura 8.37 Intreagalinie de q bii este accesats pent citre seu scriere. Memoria asocitiva astfe! ‘conceputi are urmatoarele moduti de funcgionare: + acwalizarea de adresa, in DCD-ul realizat ca © memorie CAM, la locajia Apa yAp @ cuvantului ADRm1,...,ADRo prin activarea semmalului de scriere a adresei.W A’; este de fapt scriere in CAM * citirea locatiei selectate de adresa_simbolica ADBay-ty+-;,ADRo, €0 408 comportamente posibile: ~ acd MM = 1, stunei adtesa simbolicd ADRy,—1,..:,ADRo este stocatt in CAM Ja loeatia j, spre exemplu, iar semnalul AQ, aetivat va selecta spre citi latch-ut j din matricea de iesire reprezentats de SISTEME DE ORDINUL UNU - S04 a 230 8 MEMORN ADR DIN f é A 1 WAty ED AOni wet pr) 4 : CAM men Matrice de Ly (DCD programabil) ‘| | m_ 400 Validare DOU our ig. 8.37 Memorie atcelativ eu decodieatar de tip CAM. = dact M = 0, atunci adresa simbolick ADR,x—1,---ADRo nu se alt in CAM $i niei unul din bijii AO; nu se activeazd pentru a selecta unul din latch-urile din matrcea de iegire «+ soriere la edresa simbolic’ AD Rqy-ty---yAD Ro pri activarea semnaluui de seriere a datelor, WDY, dacd adresa simboloci va fi gasti in CAM; eccesarea pentru serere a latch-urilor Ls face la fel can cazl citi + nop (no operation). Atengie! Restricile in aplicarea semnaleor de validare a series, W A’ si WD sunt de acelasi tip can cazul memoriei RAM. | ’Putem spune c& AM este un fel de RAM cu decoeificatoral programabil. ine = aulevar, da vor considera cazal care <<< 2, ate! numa un mma foarte mie = dint configura binare de m if fgi vor putea gisi locul in CAM. Memoria AM se. ‘va comport ca 9 memorie RAM in care un nui foarte mie de locai sunt selectable. Dar aceste locatii sunt programabile. Oricare n locajii dintre cele 2” posibile pot A accesote, cu condita ca in prealabi ele s8 f fost insrise in CAMC-ul folosit ea DCD “| programabil. Memoria asocatva fa de memoria RAM se afin acelagi aport in eae se aff memoria ROM fa raport eu PLA-brile. fa PLA.ut erau luate in consideraie ‘numai un numér foarte mic de produse elementare din totalulcelor posbil, Ia fel cain” cazul AM, in care din numiru!adreselor posbile sont lust Ta considerajie numa cele care incap in CAM-ul decodificator. DDaci in structura AM se introduce © memoreie CAM ex masc’, atunci Yorn potes adresa folosind adrese partial speeifieate, fapt ee corespunde termenilor nezle- | enti ce pot i programatiinr-un PLA. Se pune intebsrea: sunt necesare tn aplicail concrete memo de tip asocati ‘mcare i se stocheze entity ce apafin unei submuljimi foarte rare ale unei mulhimi de foarte mare dimensiune? fn mod evident, da! Functia de memorare este difiilé toemai ddxorithfaptului c& dintr-o mulyime imensi de enttiti trebui departajati o submultime foarte mica, foarte greu de specifica atfel decat prin memorare. Intreage muljime din care face parte submulfimea de memorat poate f, de regula "memorata” si mi simplu, pin regula ce o genereazi (ce aproape Intotdeauna existi). Spre exemplu, mulfimeca tuturor numefor de persoane intro limbs dat se poate genera relaiv usor, Dar mulfimea ramelor persoanelor care Iucreazi intro institujie nv poate fi generaté prin nici un fal de reguld Ea trebuie memorath ca parte infiml, formatk din n elemente, a unei smolfimi utiage, formata din 2" elemente, Memoria asociativk permite specficarea unei submultimi infime ce aparfine uneia foarte mari. Arhitectura noilorsistme de calcul, modelele noi de calculabilitate gi limbajele e programare ce se impun tn ultimal timp promoveaz& din ce in ce mai mult concep- ‘ul de memorie asociativs, ca pe forma cea mai naturalé de implementarea a functiei de ‘memorare. Faptul ci funcjia de memorie asociativi igi ghseste un corespondent struc tural simplu si cu dimensiuni liniare, va permite realizarea din ce in ce mai performantis ‘sunor funcyiuni ce, fn sistemele actuale de calcul, se relizeazi numai prin programare PROBLEME Problema 8.1. Care credeft cd este matival penine care i Figura 6.3 ose aft io varianta de chreuit de memarare relizat cu cieuite XOR? Problema 8.2 Care este comportanentel circuit’ din Figure 8.6 dacd. in-un cat ideal, sarctura li arf perfect simetried tar conterul ix care farcjioneaza ar fide asemenea perfect Problema 8.3 Sa'se deseneze siracrura unui latch en ceas realizat cu clreuite NOR. Care este paierul activ af ceastui si care sunt nvelele active ale semnalelor de comands pentru cireuitul rents? Problema 84 Desenay sirctura una bistalt RS de tip master-slave flosind ciruitetrans- ‘perente pe nivelulde "0" al ceasut Problema 8.5 Proieciaji o sirucird masie-slave core folozeste ceasul epicat direct pe lurdvle de CK ale taich-wrilor olosve (ezte ilaturasnversorud de pe calea de cas) Problema 8.6 Proiectaji o memorie cw acces serial folosind un regisru de deplasare serie gi un MUXE: Problema 8.7 Desenayi suructura unet memorii RAM cu adresa de 4 biti 31 dimensiunea ewvantului de 2 biti. Problema 8.8 Dispunen de sirciuri CAMqus. Sse proiecieze 0 menorie CAMysare folsind sicircuite suplimenare 32 8_MEMORIE SISTEME DE ORDINUL UNU - $0. Problema 8.9 S# se proiecteze o celult de memorie asociatid care s8 permit scrierea mena In loch-urite seletare de valoarea "I" a bnuui de maseare. Problems 8.10 Proiectaisirctura unui creuit care realigeazd secvenfiatInaiea cx 0 pute erealul2 TESTE VERILOG VerlTest 8.1 Defi descrierea Verilog a unui latch elementar realiat cu circuite NOR, ‘VerfTest 8.2. Testa prntrun program scris fn Verilog rAspunsul pe care ha da la Problema 82, AUTOMATE SISTEME DE ORDINUL DOI - SO-2 noma compotemeatals a sisimelar digitale so va extinde, prin adtugarea tunei noi bucle, de la aufonomia sidritsistemelor de ordinul ugu (SO-L) la autonomia evoluiei start sistemelor de ordinul doi (SO-2). Ca gin cazul SO-1, nova bucld tebuie ‘ntrodusi eu gr pentru a se obine un comportament suabil si controlabil. ‘Comportamentul autonom ce se objine prin Inchiderea celei de a doua bucle se ‘va manifesta fn dou’ felur «pentru aceeasi configurate binaed aplicatd inti la momente diferte,cireutul cu observ c& primul bit comuti Ie fecare numarare. Bitul al doilea comutt ori de cate ‘od primul bit comut® in din "1” in "0", Bitul af teilea comut& ori de ete ori bitul al + pollea comuté din "1" in "0". Este evident ca bitul i este triggerat de tranzitia negativa a E = tintuié — 1, cu excepsia primi bit care este triggerat de cloculsistemului. Rezulti structura de circuit reprezentaté in Figura 9.5¢, unde comanda de umirare este datX pe intrarea INC care permite bistablilor T s& comute eu frontul neg- © giv al ceasului. Primul bistabil comuté direct de la ceas. Al doilea primeste impulsul de © cus de Ia iegirea primului, iar cel de al treilea de la iesizea celui de al doilea, conform regi descrise anterior, Tesirea primului bistabil comutl cu o fntArziere ty (timpul de propagere prin = taabilul T) fapt de frontul negati al ceasului. Rezulth cf cel de al doilea bistabil = pmeste impulsul de ceas intriat cu tp, iar cel de al treilea cu o Tntérzere de 2tp. in Figura 9.5b sunt prezentate formele de.unda ce deseriu comutarea iesirilor numarétorului Socron. Asincronismul schemei rezulta din faptal c& am violat principiul decuplrii lui de cum. Tranziia iegirilor Op si Or este cea care decide gi faptul cl bistabilii c= rmeazi trebuie st comute $i tot acest tranzitie decide momenuul comutdri. Singura ‘str scuzit consolatoare este dati de simplitatea structuri fizice objinute gi de faptul ‘Teoreti, timpul de sumirare al unui namlritor asineron de m biti (nomar&tor = madulo 2") este in O(n). Vom propune, fn paraagraful urmitor, numéritoarele sincrone ae pot aduce timpul de numfrare In O(1), dar eu un efort structural ce mu poate fi ‘Namiritoare sincrone ‘Numiiitoarele sincrone se deosebesc fundamental de cele asincrone prin faptul [chs realizeazt decuplarea dinire mecanismul care determinn& cum se comport& un snu- [--nitbistabil si cdnd se produce comutarea. Cireuitele din bucl& determind pentru fiecare parte valoare de pe intrarea T. Odatt aceste valori stabilite, dupa un timp de “setup, se poate aplica frontul activ al ceasului. Toi bistabilii care trebuie s8 comute vor “comuta astfel sincron. ‘Numairitorul sincron de m bigi va putea fi definit ca un automat cu un numir ‘em = 2" stiri interne, Numararea va presupune comutarea stirii automatului dup 0 ‘egal foarte simpla. “Definigia 9.1. Une nunetrtor sincron den bi este un automat INCo,Q x INCn, Q, 9) NUM, = 346. 9 AUTOMATE: SISTEME DE ORDINUL DOI - $0-2 ce J I ine T T T 02 O Oo cK Fig. 9.5 Numfittorl asincron. a. undt la bornele numarttoruls Structura’numirdtoruluiasineron de tei bitl.b, Forme de 347 + INCo = {0,1} este muljimea vatoritor de intrare © Q x INCh este mulfimea valorilor de iegire, formatd din Q = {4mn-15 cum =2", iar INC = {0,1} © Qeste mulfimea sidrilor © £:(INCo x Q) + Qeste functia de tranzité a strié de forma % Wetec #9: Q+Q x INCp este fmcta de tranctie aiegirit deforma tay ={ ING este intrarea de comanda a numiararil, iar iesivea INCy este semnalul de depaire a capacittyit de numérare. © “90h. daci INC, = 0 act INO, = 1 F(INCo, 43) 90 deci? m1 G1 dacdi=m—1 Care este regula care ne permite si stabilim, pomind de la starea bistabililor (nu de Ja felul fa care comutt, aga cum am ficut-o fn cazul variantei asinerone), comport: ‘mentul fiecdrui bistabil in urmatorul ciclu? Sa rescriem secventa de numarare ce ne-a ajutat fn stabilirea regulii pentru varianta asincrond: (000 ~+ 001 + 010 -» 011 -+ 100 + 101 + 119 + 111 + 000-4... |: Starea urmatoare a btului cet mai putin semnifiativ se objine tot timpul prin comple- J mentare. Bitul median va comuta ori de cfte or in strea anterior primal bit a evut F- valoarea "I". Bital cel mai semnifictiv comuti ori de ete orn starea anerioart primi i bist au avut valoaiea "I". Este evident cf bitul de pe ordinul binar i comutd atunci ind fn starea anterior& toi biii mai pusn semifiativi au avut valoarea "I" Structura numisitoruui den biti, NUMp se poate realiza, pornind de la regula anterioara,folosind n bistable de tip T cu intriile, 7, comandate de un CLC descris de J uumitoarele expresi logice: T)=INCy Ty = INQ)Qo T,= INC)Q00 = 1NC00001.-.4 7 92._Structuriclementare extinse 49 Tan = INCoQ0Q1 ---Qn-2 INCy = INC0Q0Q1 - unde, prima expresie permite comutarea primului bistabil ori de cite ori este coman- ‘ath incrementrea, prin IN'Co = 1, iar ultima expresie genereaz semnalul care indick ‘episiea capaci de numbrare a cicuitulul, [Cy = 1 puténd fi folosit pentru a co- ‘manda incrementarea unei celule de numirare ulterioare. fn Figura 9.6a este reprezentat schema numardtorului sineron rezuliat. CLC-ul descris de expresile anterioare reprezint un circuit pentru calculul pre- fixelor (vezi 7.1.5). Nu tebuie s& facem un efort imaginativ prea mare pentru a ob- serva ef CLC-ul descr, impreund cu circuitele XOR din componenta fiectrui bistabil ‘Tformeaza un circuit de incrementare (a se confrunta cu Figura 7.23 din 7.2.1) conectat { nbucla unui registra dem biti, Re. Regularitatea strucurit obfinute permite o define recursivl a numaritorului sincron, fapt ce-i confirma simplitatea 348, 9_ AUTOMATE, SISTEME DE ORDINUL DOI- $0.2 Definitia 9.2 Un numatrator de n biti, NU Mr, cu intrarea de comanda INC, iesirile SE On—15--+10n $i leginea de depagire a capacitafii de numdrare INCp, este consttuit iru NUMp_1 conectat serie cu wn bistabil T si 0 poartd AN Day, ce caleuleazd depisirea capacitafii de mumdrare folosind intrarea INC, iegrile rumdrraiorului NUMn—1, On-2,-..,Op,stiegirea, On a bistabilului T (vezi Figura 9.7 ce este parte i), NUM, este format dintr-un bistabi T $10 poarta AN Ds cu intrrile a TC, INC» $i Qo. © Dimensiunea independent de n (in O(1)) a definitiei, ne permite si spunem f cK = fF ed numirdtora sincron este un circuit simpla. Dimensiunea stuctui anterior definite wwe, _| {45 ee data de modul de implementare a reli de calel al prezctor, Penta ck am optat INC a pentru Varianta cea mai rapida de rejea pentru calcutul prefixelor, rezulti: wor NUMy Sirvu(n) =n x r+ SaPano(n + 1) € O(n?) vuln) = 2x Sr Saran i INGE | [TNCe a Ra T : ‘x avantajul cl timpul de numrare, dat de perioada minim a ceasului este: e pats 10 Twvsln) = tor + tran * Darn) + tear € O11). b Onates-s00 Frin tram notat timpul de propagare prin bistbilal T (raporat infront oti al cea- ‘lu, prin tpayvp am notat timpal de propagae print-o poartk AND, iar prin tar am oat timpul de set-up la intrarea bistabilului T. Adéncimea rejelei alese find egal cu ‘i nivel, a rezultat un vitezi de numrare foarte bund. Parametriinumardtorvtui sunt dai de parametri refelei combinafionale de inter- tare abistabililor de tip T. Exit si o variant Ia extrema ceallalté. Ea corespunde Jmplementirii structural minimale a reelei de calcul a prefixelor. Va rezulta o structuri ‘mai compactt dar si mai lent, rofele parael de calal al prefitelor, Structure obyinthreprezintloextensc de ip srie-par 1, Structura intern. b. Schema echivalentS, ca automat realizat cu un Ry in bucla unui circuit de incrementare, ¢. Simbolul logic. SISTEME DE ORDINUL DOI - $0.2 92_Swocturi elementare extinse 351 350 9_ AUTOMATE aie iT ING, ! TNOo T TNCs INCy NUMa-1 Trt NCy1 NUMRy-1 Qn-2 an Qo Q Qn-2 Qo TN | 2 INC. INC, _| Qn Ona Q Qn One on Fig. 98 Definiia recursivt a unui numarator sincron eu Sroovwr(n) € On) si Fig. 9.7 Definifia recursivi a unui mumarator sincron eu Scouwr(n) € Ofn%) si T] > Tacounr(n) € O(n). Teounr(n) € O(0) Definitia 9.3 Un numatrator de n biti, NU Mp, este format dintr-im NUM, conectat serie cum bistabil T io poarid AN D2 ce calculeazd depetsirea capacitai de mundrare folosind iegirea INC, @ NUM-s $1 tegirea, On a bisiabilului T (vezi Figura 98 ce este parte a acestei defini. NUM; este format dintr-un bistabil T si 0 poartd ANDy cu intrdrile INGp $i Qo. © Rejeaua de prefixe ce apare in structuracestui numtiritor (vezi Figura 7.203) este cea mai pusin performanta ca timp de execute, dar are cea mai mic& dimensiune: ‘wurne(n) € O(n) iar Truas(n) € O(n). Intradevar conditia de comutare este caleulaté pentru bistabilul i numai dupa ce a fost calculat pentru bistabiul i — 1, fapt care ne permite s& avem pentru fiecare bistabilT 0 poarti AND cu numai 2 intrti. Perioada ceasului se va calcula cu relajia: Tava) = tyr + (=) x than + tar € One ‘Vor exista si solu intermediare oferite de modalitatile mai mult sau mai puyin optime de structurare a rejelelor de calcul al prefixelor. Se poste revedea in acest sens seetiunea 7.1.5. in calitate de proiectanti nu trebuie si ignorim nici o solujie posibila, eoarece vom fi pusi tn situajia de a proiecta numaraioare in contextele cele mai diferie. ‘Nu trebuie 58 uit ci orice sotutie este buna dacé o folosim fntr-un context potrivit. Expandarea capacitii de numirare Practica inginereascé nu ne oferi numardtoare de n biti pentru orice n, Abor area modulart specifieSingineriei, ne pune la dispozitie structuri numai pentru cdteva valori ale lui n. Suntem obligajis& construim numisitoare de o anomitl dimensiune folosind ca module numfritoare de dimensiuni mai mici. Definifile recursive anterior date au 0 valoare preponderent teoreticd, deoarece, th aplicafile concrete, ereterea ca- ‘acitipi unui numarator nu o facem incremental. Aceste definiti se pot insttui numat in nite sugesti care s& ne permit modularizarea abordrii problemelor practice. nO Exemplul 9.1 5 ‘Si presupunem e8 dispunem de module NU Mg si dorim s& consteuim un NUMye. Vom folosidout NU Mg conectatc a in Figura 9.9, unde a fst folosit simbolul dent in Figura 9.6¢. NUM1g primeste comanda de numirare INC. lesirea de deptsire a capaci de numtirare a acestui prim namirator este conectatd la intrarea ce comand numirarea ‘modulului NUM2s. La fecare deptsire a capacityii de numirare a primului numrator se ineremenicaza cel de al doilea. Cind NUM1g ajunge la valoarea 11111111, atune! 4 activeazi semnalul de depisite si NU M2 primeste comanda de incrememare. La uurmitorul impuls de ceas primul numrdtortrece in 00000000 iar iesirea sa de depisire se dezactiveazs. Se va mai reactiva dup 255 = 11111111 de impulsuri, ind va mai ‘comands © nou incrementare celul deal doilea numirstr, Din 256n 256 de impulsuri de humiare cel de al dolea efectueazi la rindul su o numirare, Astfel, dup 256. 256-1 humiriri, pomind din starea go cu fiecare automat, iesirea IN'Cyx se activeaz8 semnalind 92 Structuri elementare extnse 353 382, 9_ AUTOMATE, SISTEME DE ORDINUL DOI - $0.2 cK NUM2% NUM, INC 1 INCy O15).-,08 Fig.9.9- Extinderea capaciifi de numirare, Exemplu de profectare a unui NUMue folosind douk NU Ms. atingerescepactiiimaxime de numtrare. Urmtioralimpuls de ceas aduce numiiodrle Sn starea go. Structura objnutf ese, astfel, un numritor modulo 2". CCalculul perioadei minime a ceasului va trebui si yin cont de feptul ef seme de. deplsire INC, dela primal numtsitor, pare euofntrziere de care trebuies¥finem cont fn funcjionarea celui deal doilea numarator. Deci cel deal doilea numritor primeste co- ‘manda de numlrare cu 0 intrzi bbucla primului automat. Dac8 vom folosi varianta RCP2 (Figura 7.20b), atunci perionda ceasului se va caleula eu rea: Tyun(10) = tyr + teawoy + tpawdy + tour Daca vom folosi varianta RCP! (Figura 7.20a),atunei perioada ceasului va fi: Twvu(16) tyr +15 x trans +: tn primul cz, ineconectarea a dovt module a scat vteza de umirare. Cel des doilen = ‘caz are ovitezl de numirare neafectai de abordarea modular3. Numritoare reversibile, setabile si resetabile ‘Aplicaile numirktearelor presupun o serie de facilitgi suplimentare. Re- setarea pare una dintre cele mai frei. Este perfect normal si pretindem ea automatul ‘numésStor post fi iniializa fn starea qo. Dar de ce sie preferatistarea qo? Setarea ‘numaratorului in oriceredintre strile posibile este o facilitate care-i va conferifexiil- itate gi va permite aplicai interesante. Numvirarea inverst este gi ea de multe ori fosrte ilk, Toate aceste funcii suplimentare, sau numi o parte dintre ele, pot fi adugate structuriiautomatului numrator. fn cele ce urmeazl vom prezenta un sistem complet ‘Va tebui si analizim, pentru fnceput, ce implic& numArarea invers8. Regula ce trebuie urmatd se poate evidenfa simp scriind in ordine inversd secvenja numerelor de rei bis (000 + 111 -> 110.-+ 101 + 100 + 011 -» 010 + 001 + 000 +... -c depinde de modal de eslizare al RPqwD(8) de pe = | Primal bit comutt la fecare decremenfare. Al dilea comuti ori de cite of cel mai ulin omniicativ ete 0. Cel ma semnificativ comut8fntotdeauna cand cei doi bit mat puiin emnifcativi sunt ambit 0. Nu este greu de jusificatc& regula generlé presupune fomitorea bitulu i arunci cdnd sot bit mai pus seniificaivi sunt 0, ce exceptia bin’ cet mai pujn semaificatv cae cont la fiecare decrementare. Regula este de acelai tip ce In incrementare, nomai cla intrarea reel de calcul a prefielor de AND-uri se adue bit de la ieirea registra complementafi. Fanci cicuitulaicombinajonal ce defineste numiratorulreversibil vor f Ty = INCo T= INCOM By = INGA, ING QQ1 Gia Tyo = INC +» Qna2 INGy = INCOQMQ --+ Ant Vor pistra pentru comanda de numlrare tot semnalul IVGp. Rezuli ca la intrarea RCPayp{n + 1) vom substitui vaiabilele O, cv 0, @ I/D, unde I/D = 0 comands incrementarea iat I/D = \ comand8 decrementarea. Cele m cireuite XOR vor asigura complementarea comandatl. (Aceste n XOR-uti pot fi substitute si cu n MUXE, 6a- torts feptuli ck Ie iesirea unui bistail este, tn majortatea cazrior,disponibil atit Q ft gi Q) ‘Sunten acum prepara sf njelegem modul de functionare al structuri din Figura 4.10, Numértorul prezentat poate numra ininte sau Tnapoi, poate fi restat (adus la zero), sau poate stat inijializatcu orice veloare). Structura sa cupringe: + Re registrul de stare den bith un multiplexor format din m MUX, care pentru: ‘51S = 00: asiguil inchiderea buclei combinayionale ce permite incrementarea sau decrementarea ‘SiS = 01: permite setarea sincron8 cu ceasul a sti registrului Ry, la valoarea de pe incre In-1,.--5 0 S,Sq = 1-1 asigued resetarea numtiitoralui, prin incArcarca sincron& a valorii 00...0in Ra. © RCPy4y de AND-uri ce determind in fiecare ciclu care dintre bij de stare se complementeazs 9_ AUTOMATE SISTEME DE ORDINUL DOI- $0.2 354 « necircuite XOR, ce asigur’ complementarea comandatl de RO Pau *+ ncircuite XORy ce asigurd'complementarea eomandat a tutor iesrlor din Ry entra I/D = 1 Regimurile de funcjionare ale numfritorului sunt dictate de urmttorii bigi de comanda: RST: resetarea, care este comanda priortard ce se executl indiferent de valorile de pe celelalte intrri de comancit (analiza schemei numéitorului evidenjiaza simply ‘aceastprioritate) LD: comenda setarea numatitorului, dack RST" = 0, find priortard feph de come de incrementare gi decrementare INOp : comand numararea, dack RST = 0 si LD UD: comandi sensu! in care numirarea se poate efectua 7Pisnexator reversibil, presetabil sincron de 8 biti; module COUNTER(owt, ovf, in, reset, load, count, dow, clock); input (7:0) in; Anpvt in, reset, load, count, down, clock; output [7:0] out; ‘output ovf; reg (7:0) out; reg ovf; alvays Q(posedge clock) if (reset = 1) out = 0; else if Qload = 1) out = in; else if (count) if (down =0) | out = out + 4; else out = out -1; else out = out; always O(out) if (down = 0) ovf = (out == B*bILI11111); else ovt = (out == 8700000000) ; Ona endeodule VeriBox 9.5, esirea IVC, semnifick depisirea capacitati de numbrare,atat Ia increment c&t sila decrement. Semmificata ise va aloca in funcyie de valoarea intririi UD. Este foarte simpli renunjarea la anumite facilititi pe eare schema anterior de- serist Te are. Daca se renunfé la decrementare se elimind XOR-urile comandate de VD. Daca se renunti la resctare sau setare se inlocuiese circuitele MUX, cu MUX B. Dac 52 renunga la ambele, atunci se eliming nivelul de multiplexare. ‘ ‘Numirdtorul reversibil,setabilsresetabil sincron.'a. Structura intern. b. Simbotul 356 9_ AUTOMATE SISTEME DE ORDINUL. DOT - $0.2 Divizoare programabile [esirea O, a unui numfritor genereazi un semnal cu o frecvengi egal¥ cu fo, = fox/2*. fn Figura 9.5b avem.o bunt tistrare pentracazal unui numértor de te bit. Problema pe-care de me or tebuie si o rezolvim este aceea a divizri freevenfel cu un numér ‘oarecare, na numai cu o putere a lui doi. Nomérdtosrele reversible, presetabile sineron permit o atfel de aplcajie. Si luim numaritoral prezenat ia Figura 9,10b gi si sealizim conexiunite prezentate fn Figura 9.11, unde: « prin RES = O-am dezactivat funetia de resetare « prin I/D = 1 am comandat numérarea inversi « prin JVC = 1 am activat functia de numeirare ce se va efectua ori de cite ori funeia de incdrcare, comandati prin LD = 1, nu va fi comandaté (incircarea are priortate asupra numa) « icsiea de depisire IN'Ch, a fost conectatl a intrarea de presetare LD, astfel cat orice depasire @ capacitaii de decrementare va comanda inctrcarea numérétorului eu valoarea aplicaté pe intrile Jn-1y..+4 — 0. Dack pe intrarile numdritorului astfel preparat, se aplici valoarea N-1s-1 atunei frecvenja semnalului la iegirea IVC, va fi four = fex/N. Este evident c& numaratorul, dup ce se incarc’, va numéra inapoi de A’ ~ 1 ori pnd ‘va ajunge in zero, In starea zero se va activa iegirea de depisire a capacittti de decre- imentare ce va comanda reinclrearea eu valoatea de pe intrlri. Deci, magina are un eich de N stari (N — 1 de decrementare si una de incircare) in care se activeaz o singuri dat& semnalal INC. La iesirea OUT vom avea un semnal care cicleazf cu o frecventi Ge N ori mai mic& decat frecvenja ceasului. Conexiunea prin care INC, = LD reprezint& cumva o nou’ bucla de reacfie inchisi peste numarator? Reprezentarea din Figura 9.11 sugereazd aceastinterpretare, dar dac& revenim la Figura 9.10a constatam cf, in realitate, este vorba de o conexiune serie in circuitul combinational ce inchide bucla automatului numarator. (O prima problema care apare este dati de feptu et iegrea OUT provine dintr- un circuit combinational ce poate avea, uneori, 0 adancime mare. Acest semnal este “aproape” un semnal asincron, in sensul c& relajia lui cu ceasul este mediata de prea inte nivele logice. © resincronizare a acestui semnal se impune. © putem face [> 9.2. Strutur elementare extinse 357 TID Tnotyenyla— INCy}—"1" 1D _ “el asr | NUMER. INCa Oy, 4,..4400 j— cK ‘our Fig. 9.11. Divizor programabil {ntrziindu- prnte-un bstabil de tip D comandat cu acelasi frontal ceasului. Vom bine un semnal de aceeasifrecven, dar mai bine format, Int-o"relajie mai direct" cu ceasul sistemului, Vor spune & am sincronizatiestea divizorulu de freeventa. ‘0 a doua probleme poate fi dat de factoral de umplere foarte mic al semnalului OUT atunci cénd IV este 0 valoare mare. Solujia este imediata: se objine Ja iesirea divizorului programabil 0 freoveng’ dubl, care, larandul ei este divizat eu un bistabil TT. Vom avea atunci pentru orice divizare impusi un factor de umplere de 50%, cu 0 excelenthaproximaie penira valori mari ale li. 9.2.2. Memoria de tip stiva ca SO2 Una din funcjile de memorare cele mat imeressante si mai utile este memoria de tip stiv, denumitt si memorie LIFO (Last-In-First-Out; ultimul intrat este primul iesit). Cea de a doua denumire ne sugereazi modal de funcyionare al acestui tip de memorie, Definita 9.4 fntr-o memorie de tip stivd (LIPO) tregisrdrile au forma wrorsiruri de configurapt inare, de m bi accesate pentr serie sau ciie fa un singur capa Memoria este accesaté prin urmatioarele comeni: PUSH a : serie configurasia binardi a th varfut stivet POP : fldnurd din varful stive ulsima inregistrare, tn cursul cireia poate fi accesat conginurl varfuluistivet NOP : no operation, fn cursul etreia poate fi accesat conginunl varfului tive, fa a i afectat conginutul stivei. © ‘Avem de a face eu 0 memorie pe care nu trebuie si 0 adrestim la accesare, ‘asa cum o facem Ia memoria RAM, memoria CAM sau lz memoria asociativl. Acest 9.2 Suuctuti elementare extinse 359 358 9 AUTOMATE SISTEME DE ORDINUL DOI- $0.2 a Ie, cK NUMER, & + WE "ADR war DIN RAM ‘DOUT be our Fig. 9.12 Memoria de tip stvi (LIFO) ca $02. {ip de memorie presupune o ordine riguroasa a integistrrilor, iar accesul tine cont de = ‘our Fig.9.15.Calcvlul seevenjal al produsuli scalar Pentru a putea cregefreevenfa ceasului vom aplica tehnica pipeline. Pentru aceasta ‘vor introduce un registra pipeline, RP, Snte eiruitul de inmolire si restul schemei (ce se contureaza fi un automat de calcul al prefielor pentru functia de sumare, ACPsuy), conform reprezentinii din Figura 9.156, Perioada minimi a ceasului va fi dat de urmatoarea relay: Tox = mas( (tyra + teu + tyre), (tpsue + tpusu x + tu + tp) + Perioada ceasului se va putea reduce cu cfteva zeci de procente iar latenya (vezi Definitia, 8.3) suplimentarintrodusd este de numai un ciclu de ceas. Pentru un suficient de mare, aceastd Jatenfa suplimentard devine neimportant®. Automatul AC Poy este folosit intr-un regim deosebit, fn sensul c& iesirea Tui nu este interogatt decat la sfarstul ciclului de n sau n+ 1 tacturi, atumei cdnd in Ry se afl rezultatl final, 364 9_ AUTOMATE SISTEME DE ORDINUL DOI - $0.2 9.4_Avtomatefunejionale 365 Exempht9.3 Procedure a UC = Ax Bil act vectori ce se inmuljese scalar au 256 de componente reprezentale prin numere de while B £0 16 bi, stun va rebut sf cimensionim inreaga buelé a automata pentru 40 de bi. aoC =C+Ax onal Pain inmuliea& dout numere de 16 bij eauls numere de 32 debi B= Bgl de ori mai adangh Lop4256 = 8 bit la dimensiunea sumatorali, MUX-ulu si epistrol a de tare, Regstrul pipeline va fi dimensionat pe 32 de bi end MULT Sevaluim canttativ masina proectat, Cele dou component importante sist ‘sumatorul si inmultitorul. Solusiile pe care le vorn da acestor dou’ blocuri vor trebui asifel corelateindt cele dout nivee ale stuctui pipeline fe ett mab eshilibrate Deoaree na disponem decit de un muliplicator cmp de execute in O(p), nu va avea rst sh optim pen un sumatr rapid ca tanspor antcipat, Rez ca crim de rmirime al dmensuni strc ext dat de cicitl de inmalfe gi vain O(p2), Dec produsul timp-dimensiune va fi in O(p*). 9.3.3 inmultitor (- acumulator) secvential ‘Vor propune fn aceasta sectiune un circuit care poate fi folosit pentru multipli- care sau pentru produsul scalar a doi vectori formai din Intregi pozitivi, Structura va cuprinde patru module principale (vezi Figura 9.17): ‘© SHIFT, un circuit combinational de deplasare care putea inmulti cu puterile tui 2 efnmnulital ‘© vn automat acumulator © "automatul distrugitor de bifi” care indic& ordinal binar de mirime al Smulfitoralui, adic& pozitia bitului cel mai semnificatiy care are valoarea "1", bit pe-care apoi il comutit in "0" © ocircuit de intarziere format dintr-un registru serie de doi bii pentru sincronizarea funcyionarii celor dou’ automate cuplate pipeline, Pentru inceput si analizim model de funcjionare al.”automatului distrugitor Inifilizarea automatului se face in cictul de ceas in care LD2 = 1 comand {nserierea valorii IN tn registra de stare, Bucla atomatului se Tnchide prin recomutarea semmalului LD2 fn "0". Codificatorul prioritar CP, va genera pe iesite ordinal binar al numfrului stocat tn registra de stare, adic va indica pozitia cea mai semnificativ ccupati de un "I in segistrl de stare. Accastk valoare este emist citre circuitul de 0 structura poate fi foloiticu succes pent areaiza acumolarea de produse necesarcaleutluiprodusuli scalar a doi vector. Dacd, dupt fiecareinmulire registrul acumulator nu este stes,atunci in el se pot acumtla tn contin. uae produsele umitoarelor perechi de opeenzi. Operaia de adunare nu mai apare ca find una distinct, Valoarea lui m se dimensioneazi in funcfie de mlrimea vectorilor, Fra vecori ca p componente (unde peste 0 puter a lui 2) vor avea m = logs. in seoiunea anterioar8 am deseris un circuit pentru calcul produsutun scala, caracterizat prin valoarea produsului timp-dimensiune tn O(n). §-a" putea ca, ty ‘rma evaluirilorriguroase realizate peo variant& conereta, soluia prezentaté tn aceastt secfiune si se dovedeascd tll tn contexte bine preciate. 9.4 AUTOMATE FINITE Automatele deja studiate in acest capitol se impart in dou categorii, Automate clementare eu un numiir mie de stivi (de regulll dou) si automate eu un numir de stiri dat de o functie de (de regul 2"). Ne-am putut permite si definim automate cu un amir orieat de mare de stari pentru c& faneyie de tranztie asocateerau foarte simple. consecin(& toate automatele cu un numir mare de stiri au putut fi definite recursiv Atit automatele elementare extinse, edt si automatele functionale sunt niste automare simple, cu definitii independente de dimensiunea spayiului stititor, Definigia formal a automatelor finite spune simplu c& un automat este finit dact ‘mulimea starlor este fini, Inginerl,o fina pragmatic, face imediat observajia ci rice automat fizierealzabil twebvie s& posede un numr init de stiri. Definifia ave {omatelor fite va deveni semnficativé pentru un practician numal cu o interpretare suplimentars,limpezitoare. Un prim comentarix posibil este aceta cl funetia de tranzifie a stiri unui au- {omat fini este specifceti pentru o mulyime de stiri ce nu poate deveni orieft de mare, 844 cum a fost cazul, spre exemplu, pentru num&iztoare. Definjia dati automatulu, ‘umétitor "functioneaca” pentr un numBiitor de » biti, pentru un n oriedt de mare, teoretic chiar infin. in cazu! unui automat fini, funeyia de tranzife va presupune speci. Aicarea explicit a tuturor stirlor interne ale automatului si a modului cum acestes co. 368 9_AUTOMATE SISTEME DE ORDINUL DOI- $0.3 ‘mutt. O astfl de definigie mu va putea fi datt pentru un numir oricdt de mare de stir, ‘i numai pentru stile specificate ftr-o manierdfinitd Pentru automatele finite nu vom ‘avea 0 regu de specificare a regulii de comivtae, aga cum am ieugit pentru nurnksBtoaia, Absenta uneiformé regulate de definire a regulii va face ca automatele finite si fe nigte cireuite complexe. * Necestatea de a specifica explicit modul in care se comut& din fiecare stare a avtomatului fit, va impune defini ensiune proportionald cu dimensiunes spatiuli stiilor. Dec dimensiunea definiilor automatelor finite find proportional ct dimensiunea struct fiind circuite complexe. lar un circuit complex nu poate deveni orict de mare (infiit! celeste condamnat la fnitudine, Un al doilea comentariu posibil referitor I fiitudine este dat de modul de uti- lizare al automatelor finite. Una din aplicagile cele mai interesante ale antomatelorfinte ‘© constituie recunoasterea si generareagirurilor regulate de simbolur iruri generate cu ‘unset fnit de reguli simple, conform cArora girul nu poate reste dect Ia un capi int tun mod independent de sirul deja format). Un automat care poate genera sau recunoajte ‘buna formare a unor siruri regulate orict de lung, teoretc chiar infinite, va fi denumit prin contrast, init Un al retea comentariu posibil se referd la faptul c& nu se pot da descriesi cextensibile pentru conceperea automatelor finite. Nu vom putea niciodati folosi un au- tomat finit pentru proiecta un alt automat finit care sé-l includ’, Dack dorim s8extin- ddem functionalteea unvi automat finit va trebu stl dfinim din nou gi si reludr de la ‘nceput procest! de proiectare. Automatele numitoare, cere nu sunt automate finite, ac sunt proiectae pentru 0 anumiti dimensiune, pot fi folosite ea atare in project ‘unui numiritor extins. Acestlucru nu este posiil pentru automatele finite, ce nu pot f privite ca structuri extensiile, Finitudinea lor le “impiedic8” s& devind oricat de mari, ‘aga cum pot sto "facd”, spre exeemplu, numritoarele sau automatele acumulatoare. 9.4.1 Structura automatelor finite Automate finite fn varianta sincrond sunt caracterizate prin faptul eX fm struc- tura lor este realizath o perfect segregare inte: « circuitul care stocheazi starea automatului: registrul de stare © circuitele care calculeact funcyiile de tranzitie ale stiri gi iesiris cirewite ‘combinayinale complexe, realizate cu port, PLA-uri sau ROM-uti ' circuitul care realizeazi, cand este cazul, intrzierea iesisi: regisirul de iegire. Registrele reprezinta structuri simple, singurul efort pe care-} vom face pentra proiectarea lor este acela de a le specifica dimensiunea, Spre deosebire de registré, cit: ‘cuitele combinationale folosite in pro‘ectarea automatelor finite sunt circuite complexe. ‘Complexitatea unui automat finit va fi dati, in consecint’, de complexitatea cireuitelor sutomatelor finite, vom putea considera acestetipuri de circuiteca~ 9A _Automate rite 30 combinafionale de ealeul el eelor dow’ functi de tranzitie. Tehnologia curenti de re- alizare ancestor ciruite va fi cea aPLA-rilor. Pentru circuite foarte simple, vom folost pom logice, iar pentru func foarte complexe vom prefera si folosim ROM-uri, cel pusin pentru primele variant cele de prototi. ‘Semiautomatul, reprezentat in Figura 9.18, este un concept cu o reprezentare | simbolick (Figura 9.18), util pentru dezvoltarea discursului legat de automate, Semi- ‘automatul ca stare nu poate fi imiplementat fizic, dar este un nume bun pentru o parte a unui automat, Cand realizim fizic structura din Figura 9,18a, atunci realizim de fapt ‘un automat la cere Q = Y iar g(gi) = qi. Dar c€nd ne gindim exclusiv 1a bucla unui ‘auiomat pentru a 0 optimiza sau a o adapta la un regim special de lucru puter folosi cx succes conceptul de seméautomat. Orice automat are un semiautomat asociat. Unui - gemiautomat i se pot asocia mai multe automate. Dar gi unui automat i se pot asocia rai multe semiautomate. Acestfapt va permite ca majoritateatehnicilor de optimizare 4 structuri si fuctonlrii automatelor si se alice, de fat, semiautomatui asciat. Structura unui semiavtomat fnit sicron confine: +» registrul de stare, RS, pentru semiautomatele cu cel mult 2" stiri, recomandabil ‘cu mai mult de 2°? + PLA-ul de pe bucls, LOOP PLA, la care se poate reduce orice cireuit, porind de Ja cea mai simpli rejea de port si sjungind pant la un ROM; PLA-ul are n + p intr in iegiri, dacX mulfimea X este codificatd cup big Muljmea X este defini, de regu, de cel care "eomanda” proiectareaautomal- ‘hui, Muljimea Q este definiti de proiectantul automatului. Domeniul semiautomatului va ficel in care proiectantul fg va putea manifesta la maximum ablitates, optimizind siructura automatului ce ia fost comandat spre realizar. ‘Automatul Mealy imediat, reprezentat in Figura 9.18¢, se objine adiugind ‘nui semiautomat un czeut ce calouleaz’ functia de tranztie a ieyiti, OUTPUT PLA. Pentru acest tip de automat, funetia de tranzitie isin este definité in produsul cartezian Q x X. OUTPUTPLA este un circuit combinational care va primi pe int valoarea ‘ariabile de intrare,X, gi pe ca avaribilei de stare, Q. Va genera Ia iesireovaloare din ¥. Inte intrarea $i esi acestui tip de automat este o cale combinafionall, fn sensul c& icsiea poate "urmii", cu o Sntdriere dati de adincimea OUTPUT PLA, orice vaviayie a inti. Intrarea este cupleth asincron la iesire, cu toate avantajele gi dezavantajele co vor decurge de sci, Automatul Mesly imediat este automatul-cu reactia cea mai rapid a iesii a varie itrril. Vom opta pentru acessté variant de automat fini nd vom avea nevoie de reaci foarte prompte la un eveniment aplict inti. ‘Automatul Moore imediat, eeprezentat in Figura 9.184, se caractrizeaz& prin fatal ck funciia se de tranzifc a iegni depinde numai de stare. Ciceutul combinayional OUTPUT PLA adiugat semiautomatulai va avea intrrile conectate numai la registrl de stare, adick numai la “esirea” semiautomatului. Jeyirea acestui tip de automat su- port efectele varajiei imtiriior numai prin intermediul tranziilor prealabile x m0 9 AUTOMATE cK cK SISTEME DE ORDINUL Dots. Ap ‘@ An cK cK Aya OUTPUT Fig. 9.18 Tipuri de automate. a, Structura semiautomatului (Ayjs). b, Simbolu logic el semiautomatulu, c. Automatvl Mealy. 4. Automatul Moore. e. Automatul Mealy cu imirzier f, Automatul Moore eu intrziere. f z Automate finite an pj ato. Efecul vii ini feta yin eno nae deo period Eh ceasului. Registrul de stare funcfioneazi in acest caz gi ca un regisru de Tntarziere, § feitate pe cere 0 are deoarece este relizat cu bistabili de tip D (delay), pentru care "Trolarea”iegirii de intrare poate fi fructificaté pentru objinerea unor comporta- ‘mente atfel imposible. Dezavantajul izolri iesirit de intréi va fi convetit in avantaj = fe cénd intririle vor pune “probleme” (spre exempla, atunci cdnd nu vor avea 0 jatiaje sincrond eu ceasulsistemulu). Din punct de vedere abstract, fntotdeaune un comportament descris cu un au- = mat de tip Mealy va putea fi descris gi cu un automat de tip Moore, dar realizarea Fe concrett va pune probleme suplimentare, de regu atunci c&nd semnalele nu se vor com- porta “suficient de ideal”. Lumea semnalelorreale cere din partea ingineritor nuantiri pe care spaiulteoretic si le poate apropia nurnai eu un efort suplimentar ‘Automatal Mealy cu intdrzlere, reprezentat in Figura 9.18e, se objine prin ‘conectarea unui registru, Rr, la iesirea unui automat Mealy imediat. Acest registra funcjioneaaii ca un cirevit ce introduce o ntériere a semnalului de iegire cu un interval de timp egal cu o perioadi\ a semnalului de ceas. Inrarea circuitului nu va mai fi cuplatt ‘ombinajional(ssincron) la iesire, Tesirea va coma sincronizaté cu semnalel de ceas, serind un comportament mai controlabiliesiriiautomatulu fit Din pinet de vedere comportamental, automatul Mealy cu fnthrziere poate fi Envi en ointrziere de un un tact al ceasuli. ‘Automatul Moore cu intarzere,reprezentat in Figura 9.18f, este objnut prin > rzereaiesiriiautomatului prin registrul Ry. Acest automat va redcjiona la varitile “inci cu ofntirdiere de dovs tatu ale ceasului. Exist si eplicai tn care oastfel de ‘Toate cele patru configuraji de automate finite trebuie Iwate th considerare pen- ‘a aplicasiile concrete ce presupun functii de comand sau control, Inginerul projectant + tebuie si dispunai de tehnici cat mai variate pentru ase putea adapta fa cerinjele cele mai ‘diverse pe care le impun aplicatile concrete. Spafiul solugiilor uebuie si fe cel putin la fel de larg casi spatiul problemelor pe care le aver de rezolvat. Numai o imaginatie bine © stimulat8, de un spagiu al solujifor ©3 mai eterogen, este capabila si genereze solu ~ fird a webui sd se supund travalilui penibil de a le cauta, 9.4.2. Codificarea stirilor ‘Semiautomatul este partea ascunsi a automatului, Este spajiul in care proiec- ‘anal are libertatea de a-gi manifesta nestingherit imaginafia. De obicei o face cu folos ‘pentru comportamentul extern sl automatului. O prim si, poate, cea mai importantt erate pe care o exerciti proiectantul in spatiul ascuns al serniautomatelui este acela e a hoteza strile interne independent de defini 1a borne a automatului, Acest pro- i | i d 4 32 AUTOMATE b4 Automate finite 373 cK afi ifos @) 1 o|o 0 100 2 @ % on it Qo “[Lo Qj- -j10 CL tel eel eto OmmC tf Os HY ae a 2 ie oe aa fo-ofi 1] goo of o C_t C4 slat At Oe Yi¥o a b Fig. 9.19 Exempla de proiectare a unui abtomat fi es, de coifcare a stirilor automatului, se va dovedi esenyil pent complexiatea si dimensunea solujei. In eazul automatelor finite vom f interesayi in egal mlsurk de complestatea si dimensiuneasoluilor dte, penta ef automata fin este un creit complex. ‘Vor exemplifica efetul pe care-I poate avea codifcarea sirlorasupra com- plexi si imensiuni aotomatsui fit Exemplul 9.4 Fie automata! Mealy defnit prin organigrama din Figure 9.198, Vor eodifics cele wet Sti ale automata cu doi biti: Q1 $1 Qo Prima variant de codiiar este: gp = 00, gy = 02, g2 = 10. Pomind dela diagrama de refering ce defineyte stile curente al aufomauli au fost definite In Figura 9.196, fn urmittosrele dou’ diagrame functiile de tranzifie ale stiri, Q, si QZ. si functile ée team ale iii codieat prin Ys $i Yo CCompletrea dagramelor de ranzitje se fee porind ret del organigama ce defines sucomatol init. Coded 11 nu a fost folosi pentru coiiare, ate ef rancia din aceasid posibila stare mu este defnitd. Vom completa eu "don't care” locale corespumcitoare Fig. 9.20 Structura asociatl variantei 2 in dingramele de tani ale sti igi. Stren codifieats cu 01 are dreptsuccesor, necondigonat de valoarea inci, trea codifictt cu 10. Vom complete deci in eAsuya corespunzhtoare din diagrama pentru Qj Qj cu veloarea 10. Succesorl tui 10 este 0, Starea codifcat cu 00 are doi succes. Pentru A = 0, succesorul ete codifiat cu Ol, jar pentr A = 1, sucesorul ese codificat cu 10, deci suecesoru ese deinit de formola ‘AAT care pentru A = Oa vloare O, iar pentru A = 1a valoaea 0, CCompletareadiagrameidetranzte a epirise face, de semenea inspecting organigrama, In tazeacodiia cu OI iegrea ia valoares 10, dei vom completa acest valare fn ‘lsujacorespunzitoares diagramei ce defines fete ¥; gi Yo. Instarea 10 iegire ia valoarea 00, dec! vom completa corespunattor in dggramele de ie fn stares 00 iepirea epinde de variable de ntrae A. Bia ce! mai pun semnifcatv ese 1 (independent de ‘A iar bit mai semniicatv depinde de valoareavarabill de intra si exteegal cu A. ‘Yor complet tn cBsua corespunedtosre sti 00 cu Al Retail extrase pentr acest prim codiicare sont urnitoaele: if = Qo+ AQ} Of = 044" ¥i = Qo+ AO} Y= AQ Pentru 8 realiza automaol, in aceass primi variant, avem nevote de vn ereuit eo Svan = 10. ‘Adoua variant de codicare este: qo = 00, ¢) = OL, ¢2 = 11. Am modifica msi codul stiri gy. Pentru a vedea efecul acestei modificiri vom defini funcile de tranzijie ale acestej noi variate fa utimele dout diagrame din Figura 9.19b, de unde rezuls OE = Qo AD = (Or + (Qo + 4)" = 914 Automate finite ans a 9__svvomare SISTEME DB ORDINUL DOT- $02 =H Ya = QQ0 + AQ] = (Qi +(Q0 + AY)’ %= 0 G@) Gea de » dou sou oft evn oor cute mai simple c€ au dimension Svar ‘Vom desena circuit rezultat pentru varianta mai puyin complex. Am iransformat al ‘pobric ecuafile pentru @objine un circuit en por inversoare side acelag tip. Structara rezultat este reprezentati fn Figura 9.20, ‘Cum se explicit diferenja de complexite dintre cele doua solu prezentate in exemplul anterior. Pentru a putea da o explicate, dacX nu foarte riguroasi, micar convingltoare, va irebuis& studiem modalitiile in care codificarea mulkimilstrilor unui automat este posibili. Yom pune fn evident moduri distincte de codificare gi vom dis. cuta efectele lor asupra performantelor automatelor finite. ‘Exemplul anterior, pe lang& faptal c& a constituit o usoard “Inc&lzire™ pentru ‘curse pe care 0 vom face in domeniul automatelor finite, a avut gi rolul de a ne ofr concret dovada faptului c8, porind de la structuri de semiautomat distincte se pot ‘objine comportamente identice pentru automate finite rezultate. Libertatea conferi de aceasté posibilitate va trebui 8 0 convertim into sursi de eficienga Codificarea cu variagie minima Codificarea cu variatie minim se refer la 0 modalitate prin care se ia in cconsideratie modul de fnlinyuire al stitilor int-o organigramd.. Vor da o regulé care restricfioneaza codurile alocate sticlor succesive, Definitia 95 Codificarea cu variatie minimd alocd sidrilor succesive intr-o organi- ‘gramd coduri binare ce diferd printr-un singur bit (distansa Hamming intre codri aso- rior suecesive este minima). 0 Exomplul 9.5 In Figura 9210 este reprecentt o prime dntco orgniram ce desrie un automat Mealy cu mai mult de 4st, mov per care code flosite penta stra tei i Starea 4 difert de starea gy numai prin bial eel mai pun semnifiatis. Stareaq ae doi sucesori de care citer prin valatesunoe bil fer. De ny diert prin eel mal seria bit, iar de fer prin valoares btu median, Problema care se pune este dsc in orice situtie oastfl de codifcare este ps bil, Raspunsul este negativ. fn funcsie de structura organigramei vor exista cazuri in ‘care codificarea cu variatie minim& nu este posibild, Aceste cazuri apar atunci cfind in oot a 1 oo T 010 % Ly? roo, Fig. 9.21 Codificaces cu varijie minima, a. Exemplifcares pe un fragment de organigrams ce sparjine unui avtomat cu msi mult de starib. Caz in care codiicarea cu variie minim’ nu >)-@) ‘este posi, ‘organigram& se Inchid anumite cicluti defavorabile. Pentru a evita teoretiziri inutile vom face apel Ia un exemplu. Exemplul 9.6 Fie portunen dint-o orgenigram ce deserie un automat Moore in Figura 9.218. Incerearea de a codifica cu variate minima ciclul de sti format din ge, gy si gu esveazd, situate perfect justificaté prin faptulcX g, diferd de , prin valoarea uni bit iar de ge prin ‘yaloarea atu bit. Deti ge diferd de g obligatoriu prin dot bij, Pe bucla de tel stiri nu se poate realiza o codificare cu varajie minim. Care este solutia atunci cind codificarea cu variafie minima se va dovedi absolut ~. necesara? in astfel de situatii vom fi obligati sf modifictim organigrama prin introducerea tunei stiti suplimentare, in care va trébui si definim o iesire ce nu perturb& funcjionarea sistemului clruia fi aparjine automatul fnit pe care-I proiectim. Ed 9 AUTOMATE | % o10 ony, [ l oot 4 ao) Ton TO 199} * 7 ou | to T a b io) Hig. 9.22 Codificarea cu dependents redust, a. Exemplu peniy o singurd variabils testat b Exemplo pentru dout variable estate. Codificarea cu dependenta redust ltd modalitate extrem de codificare se refer la stirile ce sunt suecesoare ale aceleiagi stiri i definirea unui automat fnit determinist. Specificarea caracterului dterminist este obligatorie, deoarece tanzitia deterministd este determinati de testarea unei variable de intrare. Dependenja redust se refer la variabilele de intrare care trebuie 4 influenjeze minimal tranzia, Definitia 9.6 Codijicarea cu dependenga redusd presupune ca sifrile ce succed ‘aceleiasi sri st difee printr-un numér minim de bi. © Exemplul 9.7 Figura 9.22 repezine o portion din organgrtia oni svomsat Moore. Traniia din stare gs face condionat de estarea biti A. Code socal printo codiiare co dependent edust stile g sgn sun OF i, respety, 111 In diagrama de trance 8 "ii, lca corespunttoare tana din starea 010 va completa x AIL, Se ob ul ca mal semnifeati depinde de vara ce decide sea urtoare. sexvi ef numai bial Situatia se complic8 putin atanci edn intro star se testenz dow sau mai multe variabile. Se pone intrebarea dac3 se poate face o coificae cu dependenté redusé finnd cont de mai multe variable. Réspunsul este iarisi negatv. (Va trebui s& ne obisnuim din ce fn ce mai mult cu sitvaile fn care anumite lururi mu sunt posbile. Pe misuré ce sistemele devin mai complexe, webuie s% ne agteplim ca gi resteetile care permit ISTEME DE ORDINUL DOI - $0-2 9.4 Automate nite a7 ‘comportamentul Jor eoerent s& creasci.) Sugestia unui exemplu este cea mai bund pent alimpezi sursa acestei imposibili Exemplul 98 Situafia in care tranzifia dint-o stare este coniionaté de dou varabile este exempli- fica prin portianes de organigramt prezentatk tn Figura 9:22b. O prima codificere cu 1 oo oC ; \* 1 110) wo oy Vorianta 1 a Qo | 01 | AA | 10 L_| J FOF ‘Varianta 2 a Qo} 10 | AO of u QF ig. 9.25 Exempla de automat eu inate ainerond. Variant | este eisfursional deoaece file ig m sunt codcatecu dependent redasd. Varana 2 coduile cin praneze) ese orect tri cot aclorai tri cu dependent eds (numa bit ee! mai semnifcav Aepinde de vrs de invareasincon8 A) 386 9 AUTOMATE SISTEME DE ORDINUL DOI - $0-2 prohibit. Astfl, -ar putea ca bstabilul 1 88 considere tranzjia intra si si eomote tn "1 iar bstailul 0 68 desconsidere aceeasitranzite i 8 comute fn O (ca gi cum tranzitia ‘nu &avut loc), Stare fh care rece seriautomatul va f 10, adic gp. Catastrofal!! Nu este defini nii.o tranaite din gy n qa! Circuit a efectuat 0 comulare nespecifcaté in Perey | Fig. 9.29 Structure asinerone, pr a fo cK D D ge WAC sw SR RACC 304 9 AUTOMATE SISTEME DE ORDINUL DOT- 0-2 Figura 9.29 repreint structure avtematlui de sineronizare. Organigrama automata preznta avtomatol ca pe-un aotomst fnit de tp Moore. Nu spunea ins nimic despre moc de cuplare al iesii, Am optat peta un automat de ip Moore cu Intriere pen trv genera semnaleneafectate de tani pin cireute combinaionale. Semnalele de ta iesiea acest automat sunt perfect sincrone cu ceasl CK gi au dure neaeciate de tana prin eiruite cmbinaionale, in seine urmBtoare vom vedea gi alte avantje senyjale al acest opin Freevenja impulurilor de ceas o vom eafcuta cu rela Snoe = 1 tgp + tywaND, + towAND, + tou unde am considerat cl semnalele si F sunt generate sineron dela iesirea unor bistable de ip D, 1a fel ca si big de stare, Am ignoratintrzierile inroduse de cele dovs inves- soare care recepjiones24 inticle asincrone. Pe aceasti ale, intizierea nu este selevantk deoarece semnalul find asineron interile pot comuta ori in raport cu frontal acti at CX gi ne-am asigurat de un compertament coerent, prin codificarea srl, Implementarea pe care am propus-o este cea mai rapidi, datorii adancimii minime a circuitlui combinajional. Reprezentarea funcjei Q> in forma mai compactatt: f=) + W'Q0 +R + BQ0 + FQ) = (QL (QWEYY(QRFYYY redyce mumai ev dou unitgi dimensiunea circutului (de la 13 ta 11) dar dubleaz& aadincimea dela inril sincrone pnt la intrarea regisiulu de stare de do Refinem din aceastk sectiune: « asincronismul intrilor este rezolvat prin codificarea eu dependenti redus + omasing digitald mu poate interpreta intr-o stare dati mai mult de ¢ intrare asinerond © nu putem sincroniza intr-un sistem digital decat bifi cu smnificatie indepen- denta. 9.4.6 Hazardul ‘Automatele finite ne vor pune probleme sila cuplarea ieprilor cu subsistemele pe-care le comand’. Dac automatele sunt cu intrziere atunci nu apar nic un fel de df= ulti deoarece semnnalele vor fi emise de la iesirile unorregistre. Dar, dac& automatele sunt de tip imediat,atunci vor apare probleme datorateiesirilor combinayionale afectate de propagarea printr-tm numir mai mic sau mai mare de portilogice. A sosit momentul si vedem cum afecteaza forma semnalului de iesire propa- garea printr-un CLC. Pant acum am luat fn considerare numai feptul c& un. sernnal ‘ajungea Ie iesirea unui CLC cu © anumiti fndrziere, Vom vedea ci 0 va face si cu anumite “eitéri”, Tranztile gi stirile de la iegirea unui anumit circuit combinagional ‘hu reflect numa expresia logiel pe care o implementeazi ci i relaja temporald ce 24 Automate finite 395 se stabilestefntre semnalele care se propaga prin circuit cite iegri. Manifestarile su- plimentare, fafi de deserierea strict formalA prin expresitlogice, pe care le are iesirea ‘nui cireuit combinayional poarti numele de hazard. Denumirea incearcit s& reflecte imprevizibilitatea acestei comportement indus de relaji temporale ce nu sunt prinse in ecuatile logice. ‘Hazardul datorat asincronismulut intrarilor CObignuim si spunem cl doul semnale comutk deodat, adic’ sincron, fra s& ne dim seama c& exprimém numai o aspirafie ce nu are nici un fundament real. Noi putem comanda comutarea a dout semnale cu acelasi semnnal, dar nu avem nici o indreptiire si eredem eX ambele semnale vor comuta int-adevir sincron. Comanda noastrt ajunge la cele dou circuite ce genereazi comutarea celor dou semnale pe cli diferte, giseste acolo dout circuite fatalmente distinete oricat né-am stridui noi st te facem identice. Chiar dacd am reusi, sarcina gi condigile de functionare ale celor dous circuite nu vor putea finiciodatl identice. Avem o mulfime de motive si nu credem in posibilitatea ca dons semnale si fie sincrone. (Chior dacit arf, nu vom putea niciodata sesiza acest lueru pentru c& ar trebui si masurim intervalul de timp mul ce separd cele dou comutiti, $i nimic nu este mai imposibil dectt st misurim, cu precizie mcar rezonabils, 0 valoare nol’) in cazul unui automat finit spunem ci bifii de stare comuti sincron pentru c& registra de stare primeste un semnal de ceas comun pentru tof bistabilii D ce-I compun. sie fnr-o mitsuri prea mare vorbe de un fel de a vorbi. Este aproape o metaford. Iden titatea nefiind un concept inginerese,trebuie si accept cd iegirile registrului de stare ccomuti cu mici decalajeintre iesiri distincte. Aceste decalaje sunt cu atat mai evidente {in cazul in care doi bistabli comutt in sensuri dferite, unul din "0" tn "1" iar altal din br Cum se comport cicuitelelogice uzvale in astel de situa, pe care noi le caracterizim ca find comtir snerone a dou variabile? Fie aceste dou variabile, A $B, aplicate unsi AND, uni OR si unui XOR, ca in Figura 9.308, Teoretic, A si B omatd tn antitaza si sunt considerate sincrone (reprezints dou ieiri ale aceluiasi registra). Practc, ele na vor comuta absolut sincren, ci cu mii decalaje, fn Figura 9.30 au fost uate n considerare dou situa posible. Prima (Figura 9.306) in care A comuté inaintea Ii B (notatiin Figurk 931 prin "A < B) sia dova (Figura 9.30e) in care B comtéinintea ii A (notatfn aceeasifgurk prin "B < A"), Pot apare gi stati ta ‘are prima traife sl fie desineroni2al Tnt-un sens iar cea de a doua fn alt sens) Formele de und din Figura 9.30b si Figura 9.30e au fost ralizate fra se lua ‘in considerare si intirzierile portilor, pentru @ pune in evident numai efectul asinero- sismulu inerentsemnalelor aga zs sinerone, fn eazul ies unor cous sincrone, ingirea port AND ar trebu sf rimdnd in "0, ieirea pomii OR ar trebui sl rdmnd fn "Dll sesiren XORCulvi, Declajeleinerente genereazstranzii parszitetemporare ‘tn "1" sau in "0". Descrierea algebric& formal nu prevede aceste tranzitii, dar cruda 307 5 ; Ll T Y 5 4 5 of t ‘ . | IDEAL "A 1 B * B 1 B ¢ 4: E z IDEAL, "A B"), din 10 se trece in (0, unde iesirea este definité "0" ir mai apoi in O1, "creuitalrlchcete print-o zona diagramei V-K in care vaorile fincfie mu comuté din "0" simu apare nici wn fenomen de hazard 4 tot in cazul real al comuti intirciate a li A fap de BCA > B"), sire ci cuitului comutt din csuja 10 tn elsuya 11, unde iesirea are valoarea "I", iar in final ajunge unde tebuie, in O1, dupé ce pe pareurs a avut 0 "riticite” hazardati Print suprafap cu valoarea "I". Similar pot fi interpretate i celelalte diagrame, Morala este: intro dlagrama V-K iranzifit ideale, pe diagonald, nu sunt posible, itro diagram V-K seminal de tesre nu se "deplaseazd” decds pe orizontala sau pe vertical. ‘Apatja acestortranzitii nu este obligatorie datorté faptului eX unele mict calaje ture semnalele sincrone A si B s-ar putea sf nu poat fi "sesizate” de por. C pacititle parazite inerente pot tmpiediea apaijia unortranaiil foarte scure. De asemenea, ranziile paaziteodatd aprute sunt recepjinate diferit de ir cuitele din sarcin, Spre exemplu, semalul C poate fi aplicat unei por inversoare sat uneia neinversoare, Daci timpii de propagare prin pori se af in relatiatyuss > tens aunt tecerea prin cea iversoare va langi duraa impulsului parert, iar trecerea prin cea neinversoare va micgora (uneori pink la disparse) durata semnalului nedorit. In general puter afirme cd nu este previzibilé acfiunea acestor semnale ft-un sistem dig- ital. Vom fi obliga, atunci, si nem cont de cazul cel mai defavorabil, acela fn cae acesteimpulsuri pot acfiona. Acfiunile de care ne potem teme sunt cele eare determing comutarea, evident parazta, a unorelemente de memorare. 9.4 _Avcomate finite 399 Ceea ce este grav se datoreazi faptului cd uneori, pe un montaj de test spre ex- cemplu, aceste semmnale sunt inofensive, Acest fapt adoarme vigilenja noastr8. Ne trezim, de regul, prea trziu, atunci cind cireuitul a fost mltipicat gi semnalele parazite, fate-un ‘context pufin schimbat (capacitifi parazite mai mici, o temperatura diferit4), determin ‘comutiri nedorite. Ceea ce este important este ca noi s& jinem seama fn proiectare de cazul cel mai defavorabil: dacd ta intrarea unui circuit combinational comut, comandate sin- cron, doud sau mai multe ntritri, atunci apar fenoimene de hazard man- ifestate sub forma unor tranzitii parazite ce pot produce comutiri ne- dorite ale unor structuri dememorare. Fenomenele de hazard datorate asineronismului comutirii a dou sau mai multe intriri nu poate fi evitat decdt prin inaturarea cauzei ce-| produce, Dacé dorim evitarea hazardului datorat asineronismulai comutirilor sineron comandate, atuncl in fiecare ciclu de ceas la intrarea unui CLC nu trebuie si comute mai mult deo intrare. ‘Din plcate, espectarea acestei condifi nu evitk toate fenomenele de hazard intr- ‘un CLC ee recepfioneazi semnale comutate prin comenzi sincrone. ‘Hazardul de propagare ‘Miura restrctivd impust fn fnalul paragrafului anterior este suficient& numai pentru fenomenele generate la limita dintre un registr gi un CLC. Hazardul are si cauze determinate de provesele de propagare intre nivelele logice ale unui CLC. ustrativ in acest sens este circutul ce realizeazt functia D=AB' + BC. {In Figura 9.320 ete reprezentatcircuitul pentru analiza ciruia vom presupune c& A C = 1 iar semnalul B comutt conform diagramei din Figura 9.32b. Pentru o analiza ! Ti OF <> Cr) ©) ° oe {o10) ¥ | = 0 — O10 [011) ; Py @ io o Fig. 9.36 Organigrama ce descre automatul fit ce controleazt CMA gi INT. a2 9_ AUTOMATE SISTEME DE ORDINUL DOI-$0-2 9.5 Automate de contol 413 -Extragereadiagramelor de ranzitie din organigram, jar apoi a ecuaflor din diagramele de tranziie ne conduce Ia urmitoarele func logice pentru LOOP PLA, PLA-ul de pe 2 uct Hf = 0,57" Ge = Q100 + QiQoS + 0:5" LOOPPLAL f= Oo + Qob" + QQEST + HOLS iar pentru PLAI de iegireobjinem: EDL = 919551 +0108 LD2= 005 2+ 010s" 100+ Q51". Cel de al doieatermen din Qn este minimal, dar am optat pent el deoarece este ‘dente cu expresiapenrw LD2. Se obine ate o minimizace a ansamblue ceutelor combinjionaeasociate atomatlu fn. fn Fgura 9.37 ese repezena stevia ezul- tat, sub forma uni regiseu de wei bi sia unoi PLA cu 6 inci (S, 1, Qa. Qa $8 Qa) “ies 1 produse. 8 | | gq pp Ste 1 Qe yyy | os) SO tee i ie oA Pw 9.37 Siructura automatuly fit ce controleazdsistemul de multiplicare-acumulare. CObserviim cf structura unui automat finit congine dowd pari net distinete: registrul, format din bistable de tip D, o structurd simpl& obyinut& printr-o reyea uniformé formaté din module identice ‘© circuitul combinafional, format dintr-un PLA, o structuri complex prin neunifor- _mitatea cu care sunt distribuite conexiunile intro refea de por. CComplexitatea unui automat frit va fi datf de complextates PLA-uluiasociat. Ne vom sitidui, ea pe misurk ee dobindim posiblitii suplimentae de realizare,s& minimizim pastea complex a crcuitalui, O vom face, uneori chiar eu siscul resteri dimensiuni CSrcuitului. Am ajuns i ne temem mai mult de complexitate dectt de dimensiune. Forfa bruti a tehnologii ne oferé provocarea unor dimensiuni mari, pe core nu suntem in stare sitlefolosim penteu a ereste, pe masur8, complexitatea problemetor pe care le rezolvm, 9.5 AUTOMATE DE CONTROL Ait dimensiana edt si complexiatea uni automst este dati de dimensiunea produsului cartezian (Q x X) tn care este definit’ functia de tranzific a starii, Pentra 2 Fe reduce va tebui sf gisim soli pentru minimizareaefectlui dimensiunii compo- nentelor produsului. Vom Tacepe, in acest subcaptol, ou maljimea X. (In subespitolu} urmitor ne vom ocupa de Q.) Pentru aceasta vom delimita multimea automatelor de conirl entra care maljimea de intrare X are o anumi structuré si un anumit coninat specific. Pomind de la cle vor putea realizasimplifiiei importante ale stoctritaa- tomatlti de control ns 9. AUTOMATE SISTEMEDE ORDINUL DOI- 50.2 9.5 Automate de contol as Ce face? Coriandat Spm | . nMUXE y ROM So_ 0 Sistern ‘Automat controlat de contol % R ] MOD | cesatanptn . of rest | or s-ainfmpla er MUX, XxX % T [aa ea ROM e oo 7 Fig.9.99 Struct, dimensional redo, acinomae de ont R @ retiat find evo memeriefixt ROM, ase nets pat 6 "srs ne orice seovent = decomenci, Dimensionea si complexitatea ROM vor f proportionate eu 2°", } Sepune problema sis ec efectlcelor +a iti ce vin din exteriors] automat b ului, pentru ci numérul starilor sine de natura seevenjelor de comanda. 9.38. Definitia funcjionalt a unui automat de enrol. 2, Configuraia de sistem in care un ‘automat de contol este interconecat. b. Sructura neoptimizaté a automatului de control. Speci itatea unui automat de contrat Specific pentns un automat de control est feptul et intrarea poate fi structuratt sub forma unui produs earterian, (Xx Xa), cu doull componente: ‘© Xi - reprezint& intrarea pe care automatul primeste informatia referitoare la secvenfa de control pe care trebuie si o execute ‘+ Xz - reprexint® intrarea pe care automatul de contro! primeste informatia referi toare la efectul comenzilor pe care le-a transmis sistemului controlat. 1n Figura 9.38 a fost reprezenati coniguratia de sistem tn care este, de regula, iner- coneetat un automat de control. Figura 9.38b reprezinté stucturaneoptimizatl a unui automat de control. in cazul general, CLC-ul va fi presupus de complexitate maxima, Modul in care evolueazi si semnific& mérimile X; si Xo este distinct gi foarte specific. Vor rezulta, rept consecin{, configurii specifice ale automatului de control. Evolupia mult X, este caracterizaté prin faptul c& variazé foarte rar (atunci ind se impune schimbarea secvenjei de contr aplicate) si este semnificaivé numal pentru inifializarea unei noi seovenje. X; reprezinti un cod ("numele” secventei de control) biti ce-l formeaza avind semnificage fmpreun ‘Evoluja mudi Xp este caracterizatprints-o dinamic mare (comut& aproape in fecare ciclu de ceas reflectind efeetul executiri comenzilor date de automat) iar semnificatia bitilor este, de regult, independentd. De asemenea, automatul de control este “interesat”, tn imensa majortate a stdrilor, numai de valoarea unui singur bit. Stractura minimali a unui automat de control ‘Findnd cont de chservatiile anteriosre asupra mulfimilor de intrare intr-un ‘automat de control se poate propune o simplificare notabilé a structurii, conforms reprezentisii din Figura 9.39, unde au apsrut dou’ rultiplexoare: 416 9_ AUTOMATE, SISTEME DE ORDINUL DO!- 80.2 + MUX, folosit ea multiplexor de stlecyie a bitului testa in stares eurentl, fq {poteza chin flecare stare se va testa cel mult un singur bit; sigurd unl din big, ita cu 7, ce determin’ evoluia tn spain stiitor + nMUXB formes2A un moltiplexor care selecteszi la intrarea ROM-ului: ~ codul X;, de initializare a automatul nto stare de porire a uneiseevenje ée control ~ codul NEXT pin care se inchide pail bucla ce asigurd evolyia in spofil stiri. ‘Starea automatului capita gi ea o structurd de produs cartezian: Q=(MOD x NEXT x TBST) unde cele ret maljimi au urmtoarea semnificatie: MOD: muljime codifieatt pe un bit care selectsazt n biti de stare de pe bucla aux tomatului (NEXT) sau de Ia intrarea de iifalzare X; are dou valori MOD {INIT,~} = {1,0} NEXT: reprezintd n biti ai codului de (n+ 1) bi ai sticiiautomatulai TEST: seprezinti codul de seleyie prin care cel de al (n-+1)-lea bit de stare este selectat inire biti care codifick muljimea Xo si {0,1} Dac MOD = 0, etuneibucle automatulu de contol este inchs gi el evotueaz th Him itele unei seovenge de comand inserise in ROM, Pentra tranzi TEST selecteszi valoarea "0" sau valoarea "ier pentru tranzitile condijionate unal in biti ce codificd intrarea Xe. Prin adfugarea celor dou multiplexoare structure a devenit aparent mai com- plex, dar dimensiunea ROM-lui sclzand, compexitatea s-a redus semnificati, Inte adevir, am adfugat dout strctur simple (multiplexoarele) iar dimensiunea ROM-ulai a devenit proporjionati cu 2°+4, fayl de 2°*™*% oft era intial. Ori, ROM-uI find sutra cea a complex edcerea Gnesi i afetaz neal mur cm plexitatea Penira aplicasile mai sofisticate n poate creste suficient de mult, asfel tncft

Potrebbero piacerti anche