Sei sulla pagina 1di 29

Schematic Drawings

V C C _C ORE

VC C T 0 VC C _ S E N SE VC C _ S E N SE 2 4 1 2 ,2 0 ST P C L K# 1 2 S M I# H A # [3 ..3 1 ] H A # [3 ..3 1 ] 3 S L P# 1 2 IN IT # 1 2 IN T R 12 N M I 1 2 IG N N E# 12 A 20 M # IER R # 3 C PU R ST# ST P C L K# S M I# S L P# IN IT # IN T R NM I IG N N E# A 20 M # IER R # C PU R ST# R 1 63 R 1 58 R 2 58 R 1 60 R 2 26 R 2 25 R 1 66 R 1 57 R 1 56 R 2 92 C? .1 U F L U SH # T P R EQ # T TC K TT M S TD I TD O BSEL0 R 1 59 R 2 27 R 2 24 R 1 80 R 1 79 R 1 81 R 1 64 R 1 89 11 BSEL1 T R S T# TC K U P IC D 0 U P IC D 1 BR EQ 0# 3 H R E Q #0 3 H R E Q #1 3 H R E Q #2 3 H R E Q #3 3 H R E Q #4 3 A D S# 3 T T T T T T T BN R # 3 T T D D H H T H L O C K# 3 1 2 PW R O KK PW R O KK C B S Y# 3 R D Y# 3 IT # 3 IT M # 3 R 1 93 1K Z 6 29 B Q 45 R 1 82 4 .7 K F ER R # 0(R ) C P U _ F ER R # C P U _ F ER R # 12 PW R G O O D


P WR G O OD

R 1 91 3 H D # [0 ..6 3 ]
HD #[0..63] HD #0 HD #1 HD #2 HD #3 HD #4 HD #5 HD #6 HD #7

6 80 2 70 1 .5 K 1K 1 .5 K 1 .5 K 1 .5 K 1 .5 K 1 .5 K 5 6 .2 _ 1 %

H8 H 10 H 12 H 14 H 16 J7 J9 J 11 J 13 J 15 K8 K 10 K 12 K 14 K 16 L7 L9 L 11 L 13 L 15 M 8 M 10 M 12 M 14 M 16 N7 N9 N 11 N 13 N 15 P8 P 10 P 12 P 14 P 16 R7 R9 R 11 R 13 R 15 T8 T 10 T 12 T 14 T 16 U7 U9 U 11 U 13 U 15 D 10 D 11 C7 C8 B9 A9 C 10 B 11 C 12 B 13 A 14 B 12 E 12 B 16 A 13 D 13 D 15 D 12 B 14 E 14 C 13 A 19 B 17 A 18 C 17 D 17 C 18 B 19 D 18 B 20 A 20 B 21 D 19 C 21 E 18 C 20 F 19 D 20 D 21 H 18 F 18 J 18 F 21 E 20 H 19 E 21 J 20 H 21 L 18 G 20 P 18 G 21 K 18 K 21 M 18 L 21 R 19 K 19 T 20 J 21 L 20 M 19 U 18 R 18 D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D D 0# 1# 2# 3# 4# 5# 6# 7# 8# 9# 1 0# 1 1# 1 2# 1 3# 1 4# 1 5# 1 6# 1 7# 1 8# 1 9# 2 0# 2 1# 2 2# 2 3# 2 4# 2 5# 2 6# 2 7# 2 8# 2 9# 3 0# 3 1# 3 2# 3 3# 3 4# 3 5# 3 6# 3 7# 3 8# 3 9# 4 0# 4 1# 4 2# 4 3# 4 4# 4 5# 4 6# 4 7# 4 8# 4 9# 5 0# 5 1# 5 2# 5 3# 5 4# 5 5# 5 6# 5 7# 5 8# 5 9# 6 0# 6 1# 6 2# 6 3# PU PU PU PU PU PU PU PU PU PU PU PU PU PU PU PU PU PU PU PU PU PU PU PU PU PU PU PU PU PU PU PU PU PU PU PU PU PU PU PU PU PU PU PU PU PU PU PU PU PU VC C VC C VC C VC C VC C VC C VC C VC C VC C VC C VC C VC C VC C VC C VC C VC C VC C VC C VC C VC C VC C VC C VC C VC C VC C VC C VC C VC C VC C VC C VC C VC C VC C VC C VC C VC C VC C VC C VC C VC C VC C VC C VC C VC C VC C VC C VC C VC C VC C VC C

U 4 0A

H D #8
HD #9 H D #10 H D #11 H D #12 H D #13 H D #14 H D #15 H D #16 H D #17 H D #18 H D #19 H D #20 H D #21 H D #22 H D #23 H D #24 H D #25 H D #26 H D #27 H D #28 H D #29 H D #30 H D #31 H D #32 H D #33 H D #34 H D #35 H D #36 H D #37 H D #38 H D #39 H D #40 H D #41 H D #42 H D #43 H D #44 H D #45 H D #46 H D #47 H D #48 H D #49 H D #50 H D #51 H D #52 H D #53 H D #54 H D #55 H D #56 H D #57 H D #58 H D #59 H D #60 H D #61 H D #62 H D #63

LOW POWER GTL+ DATA BUS

LOW POWER GTL+ INTERFACE

A 3# A 4# A 5# A 6# A 7# A 8# A 9# A1 0# A1 1# A1 2# A1 3# A1 4# A1 5# A1 6# A1 7# A1 8# A1 9# A2 0# A2 1# A2 2# A2 3# A2 4# A2 5# A2 6# A2 7# A2 8# A2 9# A3 0# A3 1# A3 2# A3 3# A3 4# A3 5# D D D D D D D D EP0# EP1# EP2# EP3# EP4# EP5# EP6# EP7#

L3 K3 J2 L4 L1 K5 K1 J1 J3 K4 G1 H1 E4 F1 F4 F2 E1 C4 D3 D1 E2 D5 D4 C3 C1 B3 A3 B2 C2 A4 A5 B4 C5 V 20 T 21 U 21 R 21 V 18 P 21 P 20 U 19 C6 T2 V4 V2 W3 W5 A B2 A B1 Y2 AA21 Y 21 W 21 W 19 V 21 T4 E6 A A1 A A3 T1 V1 Y4 W2 R1 W 20

H A#3 H A#4 H A#5 H A#6 H A#7 H A#8 H A#9 H A# 10 H A# 11 H A# 12 H A# 13 H A# 14 H A# 15 H A# 16 H A# 17 H A# 18 H A# 19 H A# 20 H A# 21 H A# 22 H A# 23 H A# 24 H A# 25 H A# 26 H A# 27 H A# 28 H A# 29 H A# 30 H A# 31 Z2 Z3 Z4 Z5 Z6 Z7 Z8 Z9 Z 10 Z 11 Z 12 Z 13 BR EQ 0# H R E Q #0 H R E Q #1 H R E Q #2 H R E Q #3 H R E Q #4 A D S# Z 14 Z 15 Z 16 Z 17 Z 18 Z 19 Z 20 BN R # Z 21 Z 22 D B S Y# D R D Y# H IT # H IT M # Z 23 H L O C K# T P R D Y#

C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C C

VC C T 1 .5 K 1 .5 K 1K 1K 1 50 1 50 1 0K R 0 1K 1K( R ) 1K 1K 1 .5 K R

F L U SH # T P R EQ # T TC K TT M S TD I TD O T T T T T T T T T T T T BSEL0

BSEL1 T R S T# TC K U P IC D 0 U P IC D 1 G C L _ L O /H I#

R 1 88 R 2 38 R 2 36 R 2 41 R 2 43 R 3 27 R 6 82

BR EQ 0# R EQ 0# R EQ 1# R EQ 2# R EQ 3# R EQ 4# A D S# AP0# AP1# BP2# BP3# B PM 0 # B PM 1 # B IN IT # BN R # B ER R # A ER R # D B S Y# D R D Y# H IT # H IT M # R P# L O C K# P R D Y#

1 2 G C L _ L O /H I#

1 1 PIC C L K

GND GND GND GND GND GND GND GND GND GND GND GND GND GND GND GND GND GND GND GND GND GND GND GND GND GND GND GND GND GND GND GND GND GND GND GND GND GND GND GND GND GND GND GND GND GND GND GND GND GND

A2 A7 A8 A 12 A 21 B1 B5 B6 B7 B8 B 10 B 15 B 18 C9 C 11 C 15 C 16 C 19 D2 D6 D7 D9 E3 E7 E8 E9 E 10 E 11 E 13 E 19 F3 F6 F7 F8 F9 F 10 F 11 F 12 F 13 F 14 F 15 F 16 F 20 G3 G 19 H2 H7 H9 H 11 H 13

51
1 1 ,1 2 ,2 0 C PU _ S T P# 12 A 20 M # 1 2 IG N N E# 1 2 IN IT # 1 2 IN T R 12 N M I T P R EQ # C PU PW R G D BSEL0 11 BSEL1 C PU _ S T P# R 2 57 0 1 2 S M I# F L U SH # 1 2 ,2 0 ST P C L K# 1 2 G C L _ L O /H I# 11 P IC C LK R 2 0H 5 C L KC 0 PU

VC C T

VC C 3

R 1 94

1 .5 K

E C 2 N 3 9 04 R 1 83

F ER R # 1 2

U4 BP R I# 3 BP R I# A6 C PU R ST# 3 C PU R ST# R S#0 U1 3 R S#0 A A2 R S#1 3 R S#1 W1 R S#2 3 R S#2 Y1 Z1 T U2 H TR D Y # 3 H T R D Y# D E FE R # U3 3 D E F ER # R 2 44 1 1 0 _ 1 %ED G E C T R L P A A 1 6 A 20 M # IG N N E# IN IT # IN T R NM I T P R EQ # C PU PW R G D BSEL0 BSEL1
S LP #

BP R I# R ES E T# R S0# R S1# R S2# R S P# T R D Y# D E F ER #

R 1 34 D 48

0(R ) A R 3 26 C PU PW R G D 1 .5 K

GT L+ I np ut G TL + Ou tp ut 1. 5V O pe n Dr ai n Ou tp ut 1 .5 V Op en D ra in I /O

C PU PW R G D V2 .5

ED G E C T R L P A 20 M # IG N N E# IN IT # L IN T 0 /IN T R 1 .5 V CM OS I np ut L IN T 1 /N M I P R EQ # PW R G O O D <-- 2.5 CMOS Input BSEL0 BSEL1 S L P# S M I# F L U SH # ST P C L K# G H I# BC LK PIC C L K

S M I# F L U SH # ST P C L K# G C L _ L O /H I#
H C LK C P U UP IC C LK

AD 10 AC 13 AA10 AB18 AC 19 AB20 V5 AA12 AB15 AB12 AB10 AC 9 AC 11 R2 M 3 AA18

AD 9 IER R # A C 1 2 F ER R # AB21 P IC D 0 Y 2 0 P IC D 1 AA11 TC K A D 13 T D I AC 15 T D O AD 14 T M S AA14 T R S T# AA15 TH E R M D A A B16 TH ER M D C

T P R D Y# IER R # C P U _ F ER R # IER R # C P U _ F ER R # U P IC D 0 U P IC D 0 U P IC D 1 U P IC D 1 TC K TD I TD O TM S T R S T# TH E R M D P TH ER M D N TC K TD I TD O TM S T R S T# T H E R M D P2 T H E R M D N2 VC C 3 R 7 20 C 6 77 5 U 51 VC C 1 0K ( R ) 1 2 3
T itl e

F0 1 J 2E

C 6 76

.1 U ( R )

VC C T 1 2 3 4 5 6 7 8 9 10 11 12 C N 29 VC C T TD I TD O TC K TM S T R S T# P R D Y# P R EQ # C PU R ST# GND D BR E S E T # GND C o n n. 1 2P( R )

JT AG I NT ER FA CE

T HE RM AL I NT ER FA CE

TD I TD O TC K TM S T R S T# T P R D Y# T P R EQ # 3 C PU R ST#

TD I TD O TC K R 7 21 TM S R 7 22 T R S T# T P R D Y# T P R EQ # C PU R ST#

47 47

T TC K TT M S

R 2 42 1K( R )

C o p p e r m in e

.1 U ( R ) PW R O KK 4

IN 1 IN 2

D BR E S E T # PW R O K PW R O K 12

1 2 PW R O KK

OUT

GND


D oc um ent Num ber

q CO. CL E V O CO . CLEVO
Rev A 1 of 29

TC 7 S 0 8F ( R ) R 7 28 0
S iz e

uPGA2 PIII-1
C u s to m

71-51S00-D02
S heet

D ate: Monday, S eptem ber 18, 2000

F R E Q U E N C Y S E L E C T TA B L E
A1 5 A1 6 A1 7 C1 4 D8 D1 4 D1 6 E1 5 G2 G5 G1 8 H3 H5 J5 M4 M5 P3 P4 AA5 A A1 9 A C3 A C1 7 A C2 0 A D1 5 V C CT G6 G7 G8 G9 G1 0 G1 1 G1 2 G1 3 G1 4 G1 5 G1 6 G1 7 H6 H1 7 J6 J1 7 K6 K1 7 L6 L1 7 M6 M1 7 N6 N1 7 P1 P6 P1 7 R6 R1 7 T6 T1 7 U6 U1 7 V6 V7 V8 V9 V1 0 V1 1 V1 2 V1 3 V1 4 V1 5 V1 6 V1 7 W6 W7 W8 W9 W10 W11 W12 W13 W14 W15 W16 W17 Y6 Y7 Y8 AA6 AA7 AA8 AB6 AB7 AB8 A C6 A C7 A C8 A D6 A D7 A D8 R8 R1 0 R1 2 R1 4 R1 6 R2 0 T3 T5 T7 T9 T1 1 T1 3 T1 5 T1 8 T1 9 U8 U1 0 U1 2 U1 4 U1 6

BS EL1
U 40B VR E F VR E F VR E F VR E F VR E F VR E F VR E F VR E F P LL 1 E5 E1 6 E1 7 F5 F1 7 U5 Y1 7 Y1 8 L2 P LL 1 4.7U H(08 05) C 17 9 M2 P LL 2 2 2U /10 V_ 1 21 0 10U 10U 10U 10U 10U 10U 10U 10U .1U .1U R 14 3 GT LR E F C 17 8 C 18 0 C 23 2 C 24 0 C 24 6 C 23 9 C 16 7 .1U .1U .1U .1U .1U .1U 4.7U R 14 2 2K _1% (08 05) L5 1 Z29 0 R 14 6 1 V C CT V CC _C O RE 1K _1% (08 05) V C CT

BS EL0 0 1 1 0

F R E Q UE NC Y 6 6 M Hz 1 0 0 M Hz RE S E RV E D 1 3 3 M Hz

0 0 1 1

R 73 6 R Z73 0

R 73 7 0

V CC _C O RE

V C CT V C CT V C CT V C CT V C CT V C CT V C CT V C CT V C CT V C CT V C CT V C CT V C CT V C CT V C CT V C CT V C CT V C CT V C CT V C CT V C CT V C CT V C CT V C CT V CC T /C PU V CC V C CT V C CT V C CT V C CT V C CT V C CT V C CT V C CT V C CT V C CT V C CT V C CT V C CT V C CT V C CT V C CT V C CT V C CT V C CT V C CT V C CT V C CT V C CT V C CT V C CT V C CT V C CT V C CT V C CT V C CT V C CT V C CT V C CT V C CT V C CT V C CT V C CT V C CT V C CT V C CT V C CT V C CT V C CT V C CT V C CT V C CT V C CT G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND

NC NC NC NC NC NC NC NC NC NC NC NC NC NC NC NC NC NC NC NC NC NC NC NC

C 26 2 C 27 2 C 25 2 C 24 7 C 16 0 C 18 8 C 26 4 C 27 7 C 20 1 C 20 2 C 21 6 C 22 9 C 23 0 C 22 8 C 22 7 C 21 5 .1U .1U .1U .1U .1U 4 70 P

P LL 2

T ES T HI T ES T LO 1 T ES T LO 2 TE S TP TE S TP TE S TP TE S TP VID 0 VID 1 VID 2 VID 3 VID 4 R T T IMP E DP

A D1 7 Y5 N5 A D2 0 H4 A A1 7 G4 A D2 A D3 A D4 A C4 AB4 A D1 9

T ES T HI T ES T LO 1 T ES T LO 2 T E ST P 1 T E ST P 2 T E ST P 3 T E ST P 4 VID 0 VID 1 VID 2 VID 3 VID 4 R T T IMP E DP

R 19 0 R 14 8 R 32 8 R 24 0 R 13 5 R 20 4 R 32 9 VID 0 VID 1 VID 2 VID 3 VID 4 R 23 9

10K 1K 1K R R R R 15 ,2 4 15 ,2 4 15 ,2 4 15 ,2 4 15 ,2 4 56.2_ 1%

V C CT

V CC _C O RE

C 20 0 C 19 9 C 19 7 C 19 8 C 21 4 C 22 6 C 22 5 C 21 2 C 22 2 C 28 8 C 23 3 C 27 3 C 26 3 C 25 3 C 21 3 C 27 6 C 32 .1U .1U .1U .1U .1U .1U .1U .1U .1U .1U .1U .1U .1U .1U .1U .1U 4 70

V CC _C O RE

V C CT

CL KR E F

P2

CL KR E F

R 14 4 R 14 5

2K _1% (08 05) 2K _1% (08 05)

V 2.5 V C CT V 2.5

R S VD

A B1 9 Z3 1

C 26 1 .1U

C 16 8 .1U C 18 7 C 17 5 C 18 4 C 18 6 C 18 5 C 24 4 C 24 3 C 24 2 C 24 1 C 16 9 C 19 6 C 23 1 C 17 6 C 22 3 C 28 9 C 28 4 .1U .1U .1U .1U .1U .1U .1U .1U .1U .1U .1U .1U .1U .1U .1U .1U C 4.

G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND

U2 0 V3 V1 9 W4 W18 Y3 Y9 Y1 0 Y1 1 Y1 2 Y1 3 Y1 4 Y1 5 Y1 6 Y1 9 AA4 A A1 3 A A2 0 AB3 AB5 AB9 A B1 1 A B1 3 A B1 4 A B1 7 A C1 A C2 A C5 A C1 0 A C1 4 A C1 6 A C1 8 A C2 1 A D1 A D5 A D1 6 A D2 1

R 19 2

VS S_ S E N SE

VS S_ S E N SE

24 VC C 3 R 70 5 0_ 0 80 5 Z69 3 R_ 080 5 R 21 7 U3 5 2 V CC S TB Y # SMBD A T A 3 4 T AL ER T # 11 D XP D XN AL ER T # AD D 1 AD D 0 N C/C RIT 1 N C/C RIT 0 N C /OS # NC NC S MBC LK 15 12 14 6 10 1 5 9 13 16 Z2 5 Z2 6 Z2 7 Z2 8 Z2 9 Z3 0 R 23 0 4.7K (R) T T R 21 4 4.7K (R) 20 m i l s R 20 7 R 20 8 Z29 3 S DA _A T F 2 3 S C L_A T F 2 3 VC C 3 1 0K _ 080 5(R) 10K (R) OS # OS # 4.7K R 22 8 4.7K R 22 9 4.7K VC C 3

C P U V R M S E LE C T TA B L E F O R u P G A 2 P 3 V ID[4 :0 ] VCC_Core 11111 11110 11101 11100 11011 11010 11001 11000 10111 10110 10101 10100 10011 10011 10001
NO CPU 0.925V 0.950V 0.975V 1.000V 1.025V 1.050V 1.075V 1.100V 1.125V 1.150V 1.175V 1.200V 1.225V 1.250V 1.275V

C 25 7 .1U

R 70 6

V ID[4 :0 ] VCC_Core 01111 01110 01101 01100 01011 01010 01001 01000 00111 00110 00101 00100 00011 00010 00001 00000
NO CPU 1.30V 1.35V 1.40V 1.45V 1.50V 1.55V 1.60V 1.65V

C 25 6 22 0 0P 1 T H ER MDP 1 T H ER MDN 1 2 T AL ER T #

7 8

G ND G ND

MA X 16 1 7

1.70V 1.75V 1.80V 1.85V 1.90V 1.95V 2.00V

G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND G ND

H1 5 H2 0 J4 J8 J1 0 J1 2 J1 4 J1 6 J1 9 K2 K7 K9 K1 1 K1 3 K1 5 K2 0 L5 L8 L1 0 L1 2 L1 4 L1 6 L1 9 M7 M9 M1 1 M1 3 M1 5 M2 0 N2 N3 N4 N8 N1 0 N1 2 N1 4 N1 6 N1 8 N1 9 N2 0 P5 P7 P9 P1 1 P1 3 P1 5 P1 9 R3 R4 R5

C opp erm in e

10000


T itle Size Date: Docum ent Num ber

CLEVO q C LE VO C O . CO.

uPGA 2 PIII-2
Cu s tom

71-51S00-D02
Sheet 2 of

Monday, Sept em ber 18, 2000

CMOS R E F CMOS R E F

AA9 A D1 8

C 24 5 C 22 4 C 21 7 C 20 3 C 17 7 4.7U .1U .1U .1U 4 70 P

1
+

CMOS R E F

R 20 3 R 18 7

1K _1% (08 05) 2K _1% (08 05)

V C CT

C 66 2 C 24 8 220 U/6 .3V 470 U/4 V

C 28 3 470 U/4 V

C 21 8 C 26 5 220 U/6 .3V 470 U/4 V

C 18 1 1 00 U /10V

C 1 00

52

20 m ils

VCCT M DD[ 0. . 63]

R1 0 9 C1 3 4 7 5_1% .001 U

R1 3 2 C1 5 5 7 5_1% .001 U
G T LRE FA G T LRE FB

MD D[ 0 .. 6 3]

4,7,11

GT L V R E F B

B 24 P 26

VS SQA VS SQB

GT L V R E F A

MD 6 3 MD 6 2 MD 6 1 MD 6 0 MD 5 9 MD 5 8 MD 5 7 MD 5 6 MD 5 5 MD 5 4 MD 5 3 MD 5 2 MD 5 1 MD 5 0 MD 4 9 MD 4 8 MD 4 7 MD 4 6 MD 4 5 MD 4 4 MD 4 3 MD 4 2 MD 4 1 MD 4 0 MD 3 9 MD 3 8 MD 3 7 MD 3 6 MD 3 5 MD 3 4 MD 3 3 MD 3 2 MD 3 1 MD 3 0 MD 2 9 MD 2 8 MD 2 7 MD 2 6 MD 2 5 MD 2 4 MD 2 3 MD 2 2 MD 2 1 MD 2 0 MD 1 9 MD 1 8 MD 1 7 MD 1 6 MD 1 5 MD 1 4 MD 1 3 MD 1 2 MD 1 1 MD 1 0 MD 9 MD 8 MD 7 MD 6 MD 5 MD 4 MD 3 MD 2 MD 1 MD 0

R1 0 8 C1 3 8 1 5 0 _ 1% .001 U

R1 3 1 C1 5 4 1 5 0 _ 1% .001 U

T 25 W 28 W 27 Y 29 Y 27 Y 26 AA 28 AA 26 AB 28 AB 26 AC 29 AC 27 AC 25 AD 28 AD 27 Y 25 AG 22 AJ 22 AF 21 AH 21 AF 20 AH 20 AJ 20 AG 19 AJ 19 AF 18 AH 18 AF 17 AG 17 AJ 17 AF 16 AH 16 T 24 W 29 U 25 W 26 Y 28 V 25 AA 29 AA 27 AB 29 AB 27 V 24 AC 28 AC 26 AD 29 W 25 AD 26 AF 22 AH 22 AE 23 AG 21 AJ 21 AG 20 AE 22 AF 19 AH 19 AE 18 AG 18 AJ 18 AD 20 AH 17 AE 21 AG 16

M DD0 M DD1 M DD2 M DD3 M DD4 M DD5 M DD6 M DD7 M DD8 M DD9 M DD10 M DD11 M DD12 M DD13 M DD14 M DD15 M DD16 M DD17 M DD18 M DD19 M DD20 M DD21 M DD22 M DD23 M DD24 M DD25 M DD26 M DD27 M DD28 M DD29 M DD30 M DD31 M DD32 M DD33 M DD34 M DD35 M DD36 M DD37 M DD38 M DD39 M DD40 M DD41 M DD42 M DD43 M DD44 M DD45 M DD46 M DD47 M DD48 M DD49 M DD50 M DD51 M DD52 M DD53 M DD54 M DD55 M DD56 M DD57 M DD58 M DD59 M DD60 M DD61 M DD62 M DD63

A 24

P 29

U2 5 A

VCCT

C3 8 1 .1 U

R3 9 7 5 6 . 2 _1 %

1 1 6 3 0 CL K 1 HL O CK # 1 DE FE R # 1 H T R DY # 1 CP UR S T # 1 B P RI # 1 BR EQ0# 1 RS # 2 1 RS # 1 1 RS # 0 1 HI T M # 1 H IT # 1 DR DY # 1 DB S Y # 1 B NR #

630CLK HLO CK# DE FER# HT RDY # CPURS T # BPRI# BREQ0# RS#2 RS#1 RS#0 HIT M# HI T # DRDY # DBS Y # BNR# HREQ#4 HREQ#3 HREQ#2 HREQ#1 HREQ#0

V 29 T 29 R 26 P 25 G 27 R 29 G 28 V 26 R 25 U 29 U 26 R 24 U 28 T 27 U 27 P 28 R 27 T 26 T 28 R 28 P 27 M 24 H 26 G 29 J 26 H 29 H 27 K 27 H 28 J 29 J 27 K 26 J 28 K 28 L 26 L 27 L 28 K 29 M 25 M 26 M 27 L 29 N 25 N 28 M 28 M 29 N 29 N 26 P 24 N 27 V 27

C P U CL K HL O CK # DE FE R # H T R DY # CP UR S T # B P RI # BR EQ0# R S # [2] R S # [1] R S # [0] A DS # HI T M # H IT # DR DY # DB S Y # B NR # H RE Q# [4 ] H RE Q# [3 ] H RE Q# [2 ] H RE Q# [1 ] H RE Q# [0 ] H A # [ 31 ] H A # [ 30 ] H A # [ 29 ] H A # [ 28 ] H A # [ 27 ] H A # [ 26 ] H A # [ 25 ] H A # [ 24 ] H A # [ 23 ] H A # [ 22 ] H A # [ 21 ] H A # [ 20 ] H A # [ 19 ] H A # [ 18 ] H A # [ 17 ] H A # [ 16 ] H A # [ 15 ] H A # [ 14 ] H A # [ 13 ] H A # [ 12 ] H A # [ 11 ] H A # [ 10 ] H A # [9] H A # [8] H A # [7] H A # [6] H A # [5] H A # [4] H A # [3] CP UA V D D

M e mory Inte rface

CS A # [5 ] CS A # [4 ] CS A # [3 ] CS A # [2 ] CS A # [1 ] CS A # [0 ] CS B # [5 ] CS B # [4 ] CS B # [3 ] CS B # [2 ] CS B # [1 ] CS B # [0 ] M A [ 1 4] M A [ 1 3] M A [ 1 2] M A [ 1 1] M A [ 1 0] MA [9 ] MA [8 ] MA [7 ] MA [6 ] MA [5 ] MA [4 ] MA [3 ] MA [2 ] MA [1 ] MA [0 ]

AE 24 AG 24 AF 24 AJ 25 AH 25 AG 25 AF 28 AF 29 AA 25 AE 25 AE 26 AE 27 AB 25 AF 27 AF 26 AG 29 AG 28 AG 27 AH 28 AB 24 AH 27 AD 24 AJ 27 AG 26 AH 26 AJ 26 AF 25

Z 32 Z 33 RA S A # 3 RA S A # 2 RA S A # 1 RA S A # 0 Z 34 Z 35 Z 36 Z 37 Z 38 Z 39 MAA 14 MAA 13 MAA 12 MAA 11 MAA 10 MAA 9 MAA 8 MAA 7 MAA 6 MAA 5 MAA 4 MAA 3 MAA 2 MAA 1 MAA 0

T T

R A S A # [ 0 . .3 ]

R A S A # [ 0 . .3 ]

T T T T T T

MA A [ 0 .. 1 4]

ADS#

ADS#

MA A [ 0 .. 1 4]

1 H RE Q# [ 0 . .4 ]

H RE Q# [ 0 . .4 ]
HA#31 HA#30 HA#29 HA#28 HA#27 HA#26 HA#25 HA#24 HA#23 HA#22 HA#21 HA#20 HA#19 HA#18 HA#17 HA#16 HA#15 HA#14 HA#13 HA#12 HA#11 HA#10 HA#9 HA#8 HA#7 HA#6 HA#5 HA#4 HA#3

SiS630S-1

D QM[7 ] D QM[6 ] D QM[5 ] D QM[4 ] D QM[3 ] D QM[2 ] D QM[1 ] D QM[0 ] WE# SR AS # SC AS # S D CL K

Y 24 AE 28 AF 23 AG 23 AD 25 AE 29 AJ 24 AD 22 AH 23 AH 24 AJ 23 AJ 16 E9

DQ MA 7 DQ MA 6 DQ MA 5 DQ MA 4 DQ MA 3 DQ MA 2 DQ MA 1 DQ MA 0 W EA # S RA S A # S CA S A # 6 3 0 S D CL K CK E

D QMA [ 0. .7 ]

D QMA [ 0. .7 ]

H D# 6 3 H D# 6 2 H D# 6 1 H D# 6 0 H D# 5 9 H D# 5 8 H D# 5 7 H D# 5 6 H D# 5 5 H D# 5 4 H D# 5 3 H D# 5 2 H D# 5 1 H D# 5 0 H D# 4 9 H D# 4 8 H D# 4 7 H D# 4 6 H D# 4 5 H D# 4 4 H D# 4 3 H D# 4 2 H D# 4 1 H D# 4 0 H D# 3 9 H D# 3 8 H D# 3 7 H D# 3 6 H D# 3 5 H D# 3 4 H D# 3 3 H D# 3 2 H D# 3 1 H D# 3 0 H D# 2 9 H D# 2 8 H D# 2 7 H D# 2 6 H D# 2 5 H D# 2 4 H D# 2 3 H D# 2 2 H D# 2 1 H D# 2 0 H D# 1 9 H D# 1 8 H D# 1 7 H D# 1 6 H D# 1 5 H D# 1 4 H D# 1 3 H D# 1 2 H D# 1 1 H D# 1 0 HD # 9 HD # 8 HD # 7 HD # 6 HD # 5 HD # 4 HD # 3 HD # 2 HD # 1 HD # 0

E 21 A 19 C 19 B 20 B 21 B 19 A 21 A 20 D 19 E 20 D 20 B 22 C 22 C 20 A 22 D 21 A 23 C 21 B 23 C 23 A 25 E 22 D 22 D 24 D 23 C 25 B 25 C 24 E 25 F 22 D 25 E 23 B 26 E 24 C 26 A 26 A 27 D 26 B 27 C 27 B 28 F 24 C 28 D 28 H 24 C 29 E 26 D 27 J 25 E 28 D 29 E 27 H 25 K 24 F 25 F 27 E 29 F 26 L 25 K 25 F 29 F 28 G 26 G 25

53
1 HA#[ 3. . 31]

W EA # 4 S RA S A # S CA S A # 6 3 0 S D CL K CK E R3 3 1 8 .2 K 0 (0 8 05 ) C3 8 0 10 U V CC 3 V CC 3 4 4 11

HA # [ 3.. 3 1 ] R 92 C1 1 7 10 U 0 (0 8 05 )

HO ST Interface

CK E

V CC 3

CPUAV DD

S DA V D D

AE 19

SDAV DD

R4 2 9 C3 9 3 C4 0 8 .1 U

C1 3 2 C1 3 3 C3 7 9 .1 U .0 1 U 4 7 0 P V 28 CP UA V S S

S DA V S S S iS 6 30 S

AE 20

.0 1 U

C4 4 7 R4 9 3 R4 8 6 R4 9 5 R4 9
HD#0 HD#1 HD#2 HD#3 HD#4 HD#5 HD#6 HD#7 HD#8 HD#9 HD#10 HD#11 HD#12 HD#13 HD#14 HD#15 HD#16 HD#17 HD#18 HD#19 HD#20 HD#21 HD#22 HD#23 HD#24 HD#25 HD#26 HD#27 HD#28 HD#29 HD#30 HD#31 HD#32 HD#33 HD#34 HD#35 HD#36 HD#37 HD#38 HD#39 HD#40 HD#41 HD#42 HD#43 HD#44 HD#45 HD#46 HD#47 HD#48 HD#49 HD#50 HD#51 HD#52 HD#53 HD#54 HD#55 HD#56 HD#57 HD#58 HD#59 HD#60 HD#61 HD#62 HD#63

U 20 CK E CK E 1 19 2 4 6 8 11 13 15 17 1G 2G 1A 1 1A 2 1A 3 1A 4 2A 1 2A 2 2A 3 2A 4 VC C 1Y 1 1Y 2 1Y 3 1Y 4 2Y 1 2Y 2 2Y 3 2Y 4 GN D 20 18 16 14 12 9 7 5 3 10

.1 U 2 70 C KE 0 C KE 1 C KE 2 C KE 3 Z 40 Z 41 Z 42 Z 43 T T T T 2 70 2 70 2 70

1 HD # [ 0.. 6 3 ]

H D # [ 0. . 6 3]

V CC 3

V C C1 . 8

6 30S V T T

7 4 LV C2 4 4 C3 3 4 10 U C4 3 4 10 U C3 8 7 4 .7 U C1 5 6 4 .7 U C3 9 0 .1 U C3 8 9 .0 1 U C3 8 8 .1 U C3 7 7 .0 1 U C3 7 1 .1 U C3 6 3 .0 1 U C4 0 7 .1 U C3 9 1 .0 1 U C1 6 5 4 .7 U C3 7 2 .1 U C3 9 2 .0 1 U C3 7 8 .1 U C1 6 6 .0 1 U C3 7 3 4 .7 U C3 6 4 .1 U C3 6 5 .0 1 U


T it le Size Dat e: Docum ent Num ber

CLEVO CO. q C LEVO C

Si630S HOST/MEMORY INTERFA CE


Cu s t o m

71- 51S00-D02
Sheet 3

Monday, Sept em ber 18, 2000

V CC3 MA A1 MA A2 MA A0 MA A4 Z44 MA A5 MA A7 MA A14 1 2 3 4 1 2 3 4 RN45 RN36 RN46 8P 4R -10 8 7 6 5 8 7 6 5 8P 4R -10 8P 4R -10 8 7 6 5 8 7 6 5 RN35 RN34 8P 4R -10 8P 4R -10 8 7 6 5 8 7 6 5 RN39 RN47 8P 4R -22 8P 4R -10 8 7 6 5 8 7 6 5 RN44 Z46 S RA S A# S CA S A# W E A# 1 2 3 4 RN33 8P 4R -10 8P 4R -10 8 7 6 5 Z47 IS RA SA # IS CA SA # IW E A# IMA B1 IMA B2 IMA B0 IMA B4 Z45 IMA B5 IMA B7 IMA B14

630 SV T T R138 0(120 6)(R ) 0(1 206)

3 3 3 3

MA A1 MA A2 MA A0 MA A4

IMA B1 IMA B2 IMA B0 IMA B4

5 5 5 5

MDD[ 0..63]

MDD[ 0..63] 1 2 3 4 1 2 3 4 RN30 RN31 RN29

3,7 ,11 R137 A A8 A A9 A B8 A B9 N8 P8 P9 U8 V8 V9 W8 W9 Y8 Y9 H19 V D DQ V D DQ V D DQ V D DQ V D DQ V D DQ V D DQ V D DQ V D DQ V D DQ V D DQ V D DQ V D DQ V D DQ GT LV T T H20 H21 H22 J 21 J 22 K 22 L22 M22 GT LV T T GT LV T T GT LV T T GT LV T T GT LV T T GT LV T T GT LV T T GT LV T T

T 3 MA A5 3 MA A7 3 MA A14

T IMA B5 5 IMA B7 5 IMA B14 5

MDD36 MDD37 MDD38 MDD39 MDD3 MDD2 MDD1 MDD0

8P 4R -10 8 7 6 5 8 7 6 5 8P 4R -10 8P 4R -10 8 7 6 5 8 7 6 5

MD36 MD37 MD38 MD39 MD3 MD2 MD1 MD0

V CC3 U25C A A11 A A12 A A13 A A18 A A19 A A20 A A22 A B12 A B19 A B21 A B22 H10 H17 J 11 J 12 J9 K8 K9 M9 U21 U22 V 21 V 22 W 21 W 22 Y 21 A B10 A B11 A B13 A B18 A B20 H11 H12 H18 J 18 L8 M8 N22 T 22 Y 22 A A10 A A14 A A17 A A21 A B14 A B17 H13 H16 J 10 J 13 J 16 J 17 J 19 J 20 K 21 L21 L9 M21 N21 N9 T 21 U9

3 MA A13 3 MA A11 3 MA A10 3 MA A9 3 MA A3 3 MA A6 3 MA A8 3 MA A12

MA A13 MA A11 MA A10 MA A9 MA A3 MA A6 MA A8 MA A12

1 2 3 4 1 2 3 4

IMA B13 IMA B11 IMA B10 IMA B9 IMA B3 IMA B6 IMA B8 IMA B12

IMA B13 5 IMA B11 5 IMA B10 5 IMA B9 5 IMA B3 5 IMA B6 5 IMA B8 5 IMA B12 5

MDD47 MDD46 MDD45 MDD44 MDD15


MDD14

1 2 3 4 1 2 3 4

MD47 MD46 MD45 MD44 MD15 MD14 MD13 MD12

3 3 3 3

RA SA #0 RA SA #1 RA SA #2 RA SA #3 3 3 3 3 C K E0 C K E1 C K E2 C K E3

RA SA #0 RA SA #1 RA SA #2 RA SA #3 C K E0 C K E1 C K E2 C K E3

1 2 3 4 1 2 3 4

MDD13 MDD12 IRA SA #0 IRA SA #1 IRA SA #2 IRA SA #3 IC K E0 IC K E1 IC K E2 IC K E3 IRA SA #0 IRA SA #1 IRA SA #2 IRA SA #3 IC K E0 IC K E1 IC K E2 IC K E3 5 5 5 5 5 5 5 5

MDD23 MDD22 MDD21 MDD20 MDD52 MDD53 MDD54 MDD55

1 2 3 4 1 2 3 4

RN32 RN38

8P 4R -10 8P 4R -10 8 7 6 5 8 7 6 5

MD23 MD22 MD21 MD20 MD52 MD53 MD54 MD55

OV DD OV DD OV DD OV DD OV DD OV DD OV DD OV DD OV DD OV DD OV DD OV DD OV DD OV DD OV DD OV DD OV DD OV DD OV DD OV DD OV DD OV DD OV DD OV DD OV DD OV DD P V DD P V DD P V DD P V DD P V DD P V DD P V DD P V DD P V DD P V DD P V DD P V DD P V DD P V DD IV DD IV DD IV DD IV DD IV DD IV DD IV DD IV DD IV DD IV DD IV DD IV DD IV DD IV DD IV DD IV DD IV DD IV DD IV DD IV DD IV DD IV DD

3 3 3 3 3 3 3 3

DQ MA 2 DQ MA 6 DQ MA 3 DQ MA 7 DQ MA 0 DQ MA 1 DQ MA 4 DQ MA 5

DQ MA 2 DQ MA 6 DQ MA 3 DQ MA 7 DQ MA 0 DQ MA 1 DQ MA 4 DQ MA 5

1 2 3 4 1 2 3 4

IDQ MA 2 IDQ MA 6 IDQ MA 3 IDQ MA 7 IDQ MA 0 IDQ MA 1 IDQ MA 4 IDQ MA 5

IDQ MA 2 IDQ MA 6 IDQ MA 3 IDQ MA 7 IDQ MA 0 IDQ MA 1 IDQ MA 4 IDQ MA 5

5 5 5 5 5 5 5 5

MDD24 MDD25 MDD26 MDD27 MDD31 MDD30 MDD29 MDD28

8 7 6 5 8 7 6 5

RN37 RN28

8P 4R -10 8P 4R -10 1 2 3 4 1 2 3 4

MD24 MD25 MD26 MD27 MD31 MD30 MD29 MD28 V CC 1.8

SiS630S-3

V SS V SS V SS V SS V SS V SS V SS V SS V SS V SS V SS V SS V SS V SS V SS V SS V SS V SS V SS V SS V SS V SS V SS V SS V SS V SS V SS V SS V SS V SS V SS V SS V SS V SS V SS V SS V SS V SS

L13 L14 L15 L16 L17 M12 M13 M14 M15 M16 M17 M18 N11 N12 N13 N14 N15 N16 N17 N18 N19 P 14 P 15 P 18 P 19 R14 R15 T 14 T 15 U14 U15 V 14 V 15 W 13 W 14 W 15 P 16 P 17 R16 R17 R18 R19 T 16 T 17 T 18 T 19 U16 U17 U18 U19 V 16 V 17 V 18 W 16 W 17 P 11 P 12 P 13 R11 R12 R13 T 11 T 12 T 13 U11 U12 U13 V 12 V 13

T 3 S RA S A# 3 S CA S A# 3 W E A#

T IS RA SA # 5 IS CA SA # 5 IW E A# 5

MDD7 MDD6 MDD5 MDD4 MDD32 MDD33 MDD34 MDD35

1 2 3 4 1 2 3 4

RN27 RN41

8P 4R -10 8P 4R -10 8 7 6 5 8 7 6 5

MD7 MD6 MD5 MD4 MD32 MD33 MD34 MD35

S DRAM BUS INTE RFACE NE AR SiS 63 0S

Thes e H/W tra ps h av e inte rnal pu ll-d ow n re sis tors.


MD D[55. .53] : Cl oc k s kew c ont ro l of A GP input /outpu t loop (D efaul t: 001) MD 32: P AL /N T S C Sel ec t 1:P A L 0:NT SC MD33 : E nable V ideo Bridge MD36 : E nable E xt-P Link

V CC3 R451 R452 R428 4.7 K(R )


MDD53

4.7 K(R ) MDD54 4.7 K(R ) MDD55

MDD8 MDD9 MDD10 MDD11 MDD43 MDD42 MDD41 MDD40

1 2 3 4 1 2 3 4

RN40 RN43

8P 4R -10 8P 4R -10 8 7 6 5 8 7 6 5

MD8 MD9 MD10 MD11 MD43 MD42 MD41 MD40

V S SD V S SD V S SD V S SD V S SD V S SD V S SD V S SD V S SD V S SD V S SD V S SD V S SD V S SD V S SD V S SD V S SD V S SD V S SD V S SD V S SQ V S SQ V S SQ V S SQ V S SQ V S SQ V S SQ V S SQ V S SQ V S SQ V S SQ

54

MDD48 MDD49 MDD50 MDD51 MDD19 MDD18 MDD17 MDD16 R681 4.7 K(R ) MDD38 MDD56 MDD57 MDD58 MDD59 MDD60 MDD61 MDD62 MDD63

1 2 3 4 1 2 3 4

RN42 RN48

8P 4R -10 8P 4R -10 8 7 6 5 8 7 6 5

MD48 MD49 MD50 MD51 MD19 MD18 MD17 MD16

V DD3 V DD 1.8

H9 J8

A UX 3.3V A UX 1.8V

M D38 : Enable VGA Interrupt Function (For 3D Strereo)


1 : E na ble 0 : Di s able

S i S 630S

MD 31 : Quick Start Function


1 : E na ble 0 : Di s able

R410

4.7 K

MDD31

8 7 6 5 8 7 6 5

RN49 RN15

8P 4R -10 8P 4R -10 1 2 3 4 1 2 3 4

MD56 MD57 MD58 MD59 MD60 MD61 MD62 MD63 V DD 1.8 V DD3

C362 C349 RN16 5 MD[ 0..63] 8P 4R -10 .1U MD[ 0..63] 4.7 U

C340 C348 .1U 4.7 U


T itle


Size Document Number

CO. q CLEVO C L E V O C O.

DA M P ING F O R DRA M INTE RF A CE


B
Dat e: Monday, Sept ember 18, 2000

7 1-51 S 0 0-D 02
Sheet 4 of

V CC 3 L2 1 J 32 1 6H S4 8 0 C9 0 10U C8 8 10U

MEM_V CC

C8 7 .1U MEM_V CC

BA AN NK K0 0 B
MD [0 ..6 3] C N11 P IN1 4 5 -> 1 4 8:NC

MEM_V CC

MEM_V CC

BA AN NK K1 1 B
MD [0 ..6 3] C N10 P IN1 4 5 -> 1 4 8:NC

MEM_V CC

4 MD [0 ..6 3]

MD [0 ..6 3]

1 1 ME MC L K 1 1 1 ME MC L K 2

ME MC L K 1 ME MC L K 2 C4 7 6 R N E A R S ODIMM C4 8 4 R G ND MD 0 MD 1 MD 2 MD 3 MD 4 MD 5 MD 6 MD 7 G ND ID Q MA 0 ID Q MA 1 IMA B 0 IMA B 1 IMA B 2 G ND MD 8 MD 9 MD1 0 MD1 1 MD1 2 MD1 3 MD1 4 MD1 5 G ND T T

4 ID Q MA 0 4 ID Q MA 1 4 IMA B 0 4 IMA B 1 4 IMA B 2

MEM_V CC

C5 4 2 10U

C5 4 9 .1U

Z4 8 Z4 9

1 3 5 7 9 11 13 15 17 19 21 23 25 27 29 31 33 35 37 39 41 43 45 47 49 51 53 55 57 59

C N1 1 V SS DQ 0 DQ 1 DQ 2 DQ 3 V CC DQ 4 DQ 5 DQ 6 DQ 7 V SS CE 0# /D QM0 CE 1# /D QM1 V CC A0 A1 A2 V SS DQ 8 DQ 9 D Q1 0 D Q1 1 V CC D Q1 2 D Q1 3 D Q1 4 D Q1 5 V SS RE S V D /D Q6 4 RE S V D /D Q6 5

V SS D Q3 2 D Q3 3 D Q3 4 D Q3 5 V CC D Q3 6 D Q3 7 D Q3 8 D Q3 9 V SS CE 4# /D QM4 CE 5# /D QM5 V CC A3 A4 A5 V SS D Q4 0 D Q4 1 D Q4 2 D Q4 3 V CC D Q4 4 D Q4 5 D Q4 6 D Q4 7 V SS RE S V D /D Q6 8 RE S V D /D Q6 9

2 4 6 8 10 12 14 16 18 20 22 24 26 28 30 32 34 36 38 40 42 44 46 48 50 52 54 56 58 60

G ND MD3 2 MD3 3 MD3 4 MD3 5 MD3 6 MD3 7 MD3 8 MD3 9 G ND ID Q MA 4 ID Q MA 5 IMA B 3 IMA B 4 IMA B 5 G ND MD4 0 MD4 1 MD4 2 MD4 3 MD4 4 MD4 5 MD4 6 MD4 7 G ND Z5 2 Z5 3

1 1 ME MC L K 3 1 1 ME MC L K 4

ME MC L K 3 ME MC L K 4 C5 0 3 R N E A R S ODIMM C5 2 8 R

G ND MD 0 MD 1 MD 2 MD 3 MD 4 MD 5 MD 6 MD 7 G ND ID Q MA 0 ID Q MA 1 IMA B 0 IMA B 1 IMA B 2 G ND MD 8 MD 9 MD1 0 MD1 1 MD1 2 MD1 3 MD1 4 MD1 5 G ND Z5 8 T Z5 9 T

ID Q MA 4 ID Q MA 5 IMA B 3 IMA B 4 IMA B 5 4 4 4

4 4

4 ID Q MA 0 4 ID Q MA 1 4 IMA B 0 4 IMA B 1 4 IMA B 2

T T

1 3 5 7 9 11 13 15 17 19 21 23 25 27 29 31 33 35 37 39 41 43 45 47 49 51 53 55 57 59

C N1 0 V SS DQ 0 DQ 1 DQ 2 DQ 3 V CC DQ 4 DQ 5 DQ 6 DQ 7 V SS CE 0# /D QM0 CE 1# /D QM1 V CC A0 A1 A2 V SS DQ 8 DQ 9 D Q1 0 D Q1 1 V CC D Q1 2 D Q1 3 D Q1 4 D Q1 5 V SS RE S V D /D Q6 4 RE S V D /D Q6 5

V SS D Q3 2 D Q3 3 D Q3 4 D Q3 5 V CC D Q3 6 D Q3 7 D Q3 8 D Q3 9 V SS CE 4# /D QM4 CE 5# /D QM5 V CC A3 A4 A5 V SS D Q4 0 D Q4 1 D Q4 2 D Q4 3 V CC D Q4 4 D Q4 5 D Q4 6 D Q4 7 V SS RE S V D /D Q6 8 RE S V D /D Q6 9

2 4 6 8 10 12 14 16 18 20 22 24 26 28 30 32 34 36 38 40 42 44 46 48 50 52 54 56 58 60

G ND MD3 2 MD3 3 MD3 4 MD3 5 MD3 6 MD3 7 MD3 8 MD3 9 G ND ID Q MA 4 ID Q MA 5 IMA B 3 IMA B 4 IMA B 5 G ND MD4 0 MD4 1 MD4 2 MD4 3 MD4 4 MD4 5 MD4 6 MD4 7 G ND Z6 3 Z6 4

ID Q MA 4 ID Q MA 5 IMA B 3 IMA B 4 IMA B 5 4 4 4

4 4

T T

1 1 ME MC L K 1 4 ISR A SA # 4 IW EA # 4 IR A S A# 0 4 IR A S A# 1

ME MC L K 1 ISR A SA # IW EA # IR A S A# 0 IR A S A# 1 Z5 6 G ND Z5 0 T Z5 1 T MD1 6 MD1 7 MD1 8 MD1 9 G ND MD2 0 MD2 1 MD2 2 MD2 3 IMA B 6 IMA B 8 G ND IMA B 9 IMA B1 0 ID Q MA 2 ID Q MA 3 G ND MD2 4 MD2 5 MD2 6 MD2 7 MD2 8 MD2 9 MD3 0 MD3 1 G ND SD A _RA

R5 3 3 1K

4 IMA B 6 4 IMA B 8 4 IMA B 9 4 IMA B1 0 4 ID Q MA 2 4 ID Q MA 3

2 3 SD A _RA

61 63 65 67 69 71 73 75 77 79 81 83 85 87 89 91 93 95 97 99 10 1 10 3 10 5 10 7 10 9 11 1 11 3 11 5 11 7 11 9 12 1 12 3 12 5 12 7 12 9 13 1 13 3 13 5 13 7 13 9 14 1 14 3

C LK 0 V CC R AS # WE# C S0 # C S1 # QE # V SS RE S V D /D Q6 6 RE S V D /D Q6 7 V CC D Q1 6 D Q1 7 D Q1 8 D Q1 9 V SS D Q2 0 D Q2 1 D Q2 2 D Q2 3 V CC A6 A8 V SS A9 A1 0 V CC CE 2# /D QM2 CE 3# /D QM3 V SS D Q2 4 D Q2 5 D Q2 6 D Q2 7 V CC D Q2 8 D Q2 9 D Q3 0 D Q3 1 V SS S DA V CC S O-D IMM1 44_ 4

C KE 0 V CC C AS # C KE 1 A1 2 A1 3 C LK 1 V SS RE S V D /D Q7 0 RE S V D /D Q7 1 V CC D Q4 8 D Q4 9 D Q5 0 D Q5 1 V SS D Q5 2 D Q5 3 D Q5 4 D Q5 5 V CC A7 BA 0/A1 1 V SS BA 1/A1 2 A 11/A1 3 V CC CE 6# /D QM6 CE 7# /D QM7 V SS D Q5 6 D Q5 7 D Q5 8 D Q5 9 V CC D Q6 0 D Q6 1 D Q6 2 D Q6 3 V SS SC L V CC

62 64 66 68 70 72 74 76 78 80 82 84 86 88 90 92 94 96 98 10 0 10 2 10 4 10 6 10 8 11 0 11 2 11 4 11 6 11 8 12 0 12 2 12 4 12 6 12 8 13 0 13 2 13 4 13 6 13 8 14 0 14 2 14 4

IC K E 0 ISC A SA # IC K E 1 IMA B1 4 Z5 7 ME MC L K 2 G ND Z5 4 T Z5 5 T MD4 8 MD4 9 MD5 0 MD5 1 G ND MD5 2 MD5 3 MD5 4 MD5 5 IMA B 7 IMA B1 1 G ND IMA B1 2 IMA B1 3 ID Q MA 6 ID Q MA 7 G ND MD5 6 MD5 7 MD5 8 MD5 9 MD6 0 MD6 1 MD6 2 MD6 3 G ND S CL _RA

IC K E 0 4 ISC A SA # 4 IC K E 1 4 IMA B1 4 4 ME MC L K 2 11 R5 2 6 1K

1 1 ME MC L K 3 4 ISR A SA # 4 IW EA # 4 IR A S A# 2 4 IR A S A# 3

ME MC L K 3 ISR A SA # IW EA # IR A S A# 2 IR A S A# 3 Z6 0 G ND Z6 1 T Z6 2 T MD1 6 MD1 7 MD1 8 MD1 9 G ND MD2 0 MD2 1 MD2 2 MD2 3 IMA B 6 IMA B 8 G ND IMA B 9 IMA B1 0 ID Q MA 2 ID Q MA 3 G ND MD2 4 MD2 5 MD2 6 MD2 7 MD2 8 MD2 9 MD3 0 MD3 1 G ND SD A _RB

R5 5 4 1K

IMA B 7 4 IMA B1 1 4 IMA B1 2 IMA B1 3 ID Q MA 6 ID Q MA 7 4 4 4 4

4 IMA B 6 4 IMA B 8 4 IMA B 9 4 IMA B1 0 4 ID Q MA 2 4 ID Q MA 3

S CL _RA

23

2 3 SD A _RB

61 63 65 67 69 71 73 75 77 79 81 83 85 87 89 91 93 95 97 99 10 1 10 3 10 5 10 7 10 9 11 1 11 3 11 5 11 7 11 9 12 1 12 3 12 5 12 7 12 9 13 1 13 3 13 5 13 7 13 9 14 1 14 3

C LK 0 V CC R AS # WE# C S0 # C S1 # QE # V SS RE S V D /D Q6 6 RE S V D /D Q6 7 V CC D Q1 6 D Q1 7 D Q1 8 D Q1 9 V SS D Q2 0 D Q2 1 D Q2 2 D Q2 3 V CC A6 A8 V SS A9 A1 0 V CC CE 2# /D QM2 CE 3# /D QM3 V SS D Q2 4 D Q2 5 D Q2 6 D Q2 7 V CC D Q2 8 D Q2 9 D Q3 0 D Q3 1 V SS S DA V CC SO -DIMM14 4_4R

C KE 0 V CC C AS # C KE 1 A1 2 A1 3 C LK 1 V SS RE S V D /D Q7 0 RE S V D /D Q7 1 V CC D Q4 8 D Q4 9 D Q5 0 D Q5 1 V SS D Q5 2 D Q5 3 D Q5 4 D Q5 5 V CC A7 BA 0/A1 1 V SS BA 1/A1 2 A 11/A1 3 V CC CE 6# /D QM6 CE 7# /D QM7 V SS D Q5 6 D Q5 7 D Q5 8 D Q5 9 V CC D Q6 0 D Q6 1 D Q6 2 D Q6 3 V SS SC L V CC

62 64 66 68 70 72 74 76 78 80 82 84 86 88 90 92 94 96 98 10 0 10 2 10 4 10 6 10 8 11 0 11 2 11 4 11 6 11 8 12 0 12 2 12 4 12 6 12 8 13 0 13 2 13 4 13 6 13 8 14 0 14 2 14 4

IC K E 2 ISC A SA # IC K E 3 IMA B1 4 Z6 5 ME MC L K 4 G ND Z6 6 T Z6 7 T MD4 8 MD4 9 MD5 0 MD5 1 MD5 2 MD5 3 MD5 4 MD5 5 IMA B 7 IMA B1 1 G ND IMA B1 2 IMA B1 3 ID Q MA 6 ID Q MA 7 G ND MD5 6 MD5 7 MD5 8 MD5 9 MD6 0 MD6 1 MD6 2 MD6 3 G ND S CL _RB

IC K E 2 4 ISC A SA # 4 IC K E 3 4 IMA B1 4 4 ME MC L K 4 11

55
MEM_V CC C4 8 8 4.7U C4 7 1 4.7U C4 7 0 .1U

IMA B 7 4 IMA B1 1 4 IMA B1 2 IMA B1 3 ID Q MA 6 ID Q MA 7 4 4 4 4

S CL _RB

23

MEM_V CC

MEM_V CC

C4 7 8 .1U

C4 7 3 .1U

C4 7 2 .01U

C4 7 7 .01U

C4 7 4 .01U

C4 7 9 .01U

C4 8 0 .1U

C4 7 5 .1U

C5 3 3 .1U

C5 3 1 .1U

C5 2 9 .1U

C4 8 7 .1U

C5 2 4 .1U

C5 0 5 .1U

C5 0 6 4.7U

C5 2 3 4.7U

C4 8 6 .1U

C4 8 5 .1U

C4 8 1 .1U

C5 2 7 .01U

C5 3 0 .01U

C5 3 2 .01U

C5 0 4 .01U
T it le


Size Docum ent Num ber

CLEVO CO.
q

C L EVO C O.

SODIMM
B
Date:

71-51S00-D02
M onday, Septem ber 18, 2000 Sheet 5 of

V CC 3

R ED

RED

R3 42 C1 46

0 C

Z6 31 R6 25 10 K T T T T T T P 17 _0 P 16 _0 P 15 _0 P 14 _0 P 13 _0 P 12 _0 P 11 _0 P 10 _0 P 9 _0 P 8 _0 P 7 _0 P 6 _0 P 5 _0 P 4 _0 P 3 _0 P 2 _0 P 1 _0 P 0 _0 R6 24 R

C6 16 .01U T T T T T T T T T T T T T T T T

C6 15 .01U

C5 95 .01U

C5 96 4 .7U

PR0

PB1 PB0

P G1 P G0

9 G RE E N

PR1

G RE EN

R3 33 C1 48

0 C

Z6 32

Z6 24 P [0 ..17 ] P0 P1 P2 P3 P4 P5 U2 AC1 A G2 AB 3 AB 4 AB 6 AA 5 AB 5 R6 P2 P1 U6 V6 R5 R3 T6 R4 R1 R2 T2 T3 AD2 AD3 W1 W2 A J3 AA 1 Z 68 Z 69 Z 70 Z 71 Z 72 Z 73 Z 74 Z 75 Z 76 Z 77 Z 78 Z 79 P6 P7 P8 P9 P 10 P 11 P 12 P 13 P 14 P 15 P 16 P 17


VBHSY NC VBVSY NC

21 14 8 2 44 45 47 48 1 3 4 6 7 9 10 12 13 15 16 18 19 20 22 23 25 26 27

U 12 V CC V CC V CC V CC T X IN 0 T X IN 1 T X IN 2 T X IN 3 T X IN 4 T X IN 5 T X IN 6 T X IN 7 T X IN 8 T X IN 9 T XIN 10 T XIN 11 T XIN 12 T XIN 13 T XIN 14 T XIN 15 T XIN 16 T XIN 17 T XIN 18 T XIN 19 T XIN 20 T XC LK IN /P W R DW N S N 75L V DS 84A

A AD 0 A AD 1 A AD 2 A AD 3 A AD 4 A AD 5 A AD 6 A AD 7 A AD 8 A AD 9 A A D 10 A A D 11 A A D 12 A A D 13 A A D 14 A A D 15

T XO U T 0T X OU T 0+ T XO U T 1T X OU T 1+ T XO U T 2T X OU T 2+ T XC LK OT X CL K O + L VD SV CC

41 40 39 38 35 34 33 32 37

Y 0M Y 0P Y 1M Y 1P Y 2M Y 2P CLKO UT M CLKO UT P LVDSVCC

Y 0M Y 0P Y 1M Y 1P Y 2M Y 2P

7 7 7 7 7 7 7 7 L 74 VC

AD6 A G1 A F3 A F2 A F1 AE 4 AE 3 AE 2 AE 1 AD5 AD4 AD1 AC4 AC3 AC2 AC5

AB 2 Y6 AA 4 AA 3 Y4 Y5 Y2 Y3

T1 T4 T5 U1 W5 V1 U4 U5

V2 V3 V4 V5 W3 W4 Y1 U3

8 P [0 ..17 ] U 25D

A FR AME # /B 7 A IRD Y # /B 6 A A D16 /B 5 A C B E 2# /B 4 A A D18 /B 3 A A D17 /B 2 A A D20 /B 1 A A D19 /B 0

9 B LUE

A A D27 /R 7 A A D26 /R 6 A A D25 /R 5 A A D24 /R 4 A A D23 /R 3 A A D22 /R 2 A A D21 /R 1 A C B E 3# /R 0

S B A 3 /G 7 S B A 4 /G 6 S B A 5 /G 5 S B A 6 /G 4 A A D31 /G3 A A D28 /G2 A A D30 /G1 A A D29 /G0

B LUE

R3 32 C1 49

0 C

Z6 33

A AD 0 A AD 1 A AD 2 A AD 3 A AD 4 A AD 5 A AD 6 A AD 7 A AD 8 A AD 9 A A D 10 A A D 11 A A D 12 A A D 13 A A D 14 A A D 15

C LK OU T M C LK OU T P

Z6 31 Z6 32 Z6 33
HSY NC V SY NC DDCDA DDCLK S SY NC VO SCI RSET 630VREF

B 14 A 14 A 15 D 15 A 16 C 15 B 16 C 16 A 11 E 19 C 14 B 15

ROUT GOUT B OUT H SY NC V SY NC DD C 1DA T A DD C1 CLK S SY NC V OS CI RS E T V RE F COMP

9 H SY NC 9 V SY NC 9 D DC DA 9 DD CLK T 11 V OS CI R3 69 C1 50 .1U C3 27 14 0 _1 %

AG P Interface VG A Interface

S BA 7 A CB E 1# A CB E 0# A T R DY # A D EV S E L A SE RR # AP AR A ST OP # V BC A D /A R EQ # V B HC LK /R B F# V B CT L0 /W B F# V BC T L 1 /P IPE #

T T T T T T T T T T T T V BH S Y NC V BV S Y NC M 8 8 8

B K2 1 2 5H S3 30 C6 03 C6 04 C6 14 36 42 31 29 30 28 43 24 17 11 5 46 Z 90 .01U .1U 4 .7U

L VD SG ND L VD SG ND L VD SG ND P LLV CC P LLG ND P LLG ND N /C G ND G ND G ND G ND G ND

L 73
PLLV CC

B K2 1 2 5H S3 30 C5 94 C6 02 C5 93 .01U T .1U 4 .7U

V BH S Y NC /ST 0 V BV S Y NC /ST 1 S B A 0 /V BB LA NK # V G CLK /A GNT # V B CLK /ST 2 S BA 2/DD C2 CL K S B A 1/D D C2D A T S B_ S T B SB _S T B #

VBHSY NC VBVSY NC M SHF CLK

M S HF CL K 8 D OT CLK
DO T CLK

LC D_ P D #
ENAVDD# ENABKKL#

LC D_ P D # R4 09 4 .7K

1U COMP

E N AV DD # 7,8 E NA B K K L # 7 T T T T T T A GP _ CLK 8 .2K 11

Z 82 Z 83 Z 84 Z 85 Z 86 Z 87
AGP_CLK

L 58 V CC 3 DA CA VD DC B K2 1 2 5H S3 30 C3 29 4 .7U C3 28 4 .7U C3 55 .01U

F 18

DA CA VD DC

AD_S T B 0 A D _ST B 0#

S HF CL K

SHF CLK

R7 48 C7 01 10 P(R)

0 Z8 03 R6 17

DO T CLK

D OT CLK

56

C5 85 10 P(R) a U 25 P [0 ..17 ]

SiS630S-4
F 20 DA CA VS S C
E CLKAV DD

AD_S T B 1 A D _ST B 1# A GP CLK A G PV RE F

Z 88

R4 72

L 43 V CC 3 B K2 1 2 5H S3 30 C1 64 4 .7U C1 51 4 .7U C3 53 .01U

F 15

E C L K A V DD A GP R CO MP

AB 1

Z 89

R4 71

59

V CC 3 L 26 B K2 1 2 5H S3 30 V CC 3 C4 23 4 .7U

P 0 _0 P 1 _0 P 2 _0 P 3 _0

1 2 3 4

R N 25

P [0 ..17 ]

8 7 6 5

P0 P1 P2 P3

8P 4 R-0 A GP A V DD 1 E 15 E C L K A V SS A E 10 AG PAVDD0 C4 06 .01U C1 16 4 .7U P 13 _0 P 12 _0 P 6 _0 P 7 _0 1 2 3 4 R N 17 8 7 6 5 P 13 P 12 P6 P7

L 44 V CC 3
DCLKAV DD

F 16

8P 4 R-0 DC L K A V DD A GP A V SS 1 A D 10 P 8 _0 P 9 _0 P 10 _0 P 11 _0 AE 9 L 59
AG PAVDD1

B K2 1 2 5H S3 30 C1 53 4 .7U C1 52 4 .7U C3 54 .01U E 16

1 2 3 4

R N 18

8 7 6 5

P8 P9 P 10 P 11

DC L K A V SS

A GP A V DD 2

J 3 2 16 H S48 0NT R4 47 C4 05 .01U

V CC 3 C4 35 .01U P 5 _0 P 4 _0 P 15 _0 P 14 _0

8P 4 R-0 1 R N 26 2 3 4 8P 4 R-0 8 7 6 5 P5 P4 P 15 P 14

L 57 V CC 3 DA CA VD DB B K2 1 2 5H S3 30 C3 20 4 .7U C3 26 4 .7U C3 52 .01U

E 14

DA CA VD DB A GP A V SS 2 A GP V S S RE F AD8 AA 2

1 K(R)

P 16 _0 P 17 _0

R4 48 R 91

0 0

P 16 P 17

D 14

DA CA VS S B S iS 63 0S


T it le Size Docum ent Num ber

CLEVO q CO. C L EV O C O.

SiS630S V GA INTERFACE
C us tom
Date:

71-51S00-D02
S heet 6 of

Monday, S eptem ber 18, 2000

V CC 3 V CC 3 +12 V C6 9 4.7U V CC 3 R6 3 8 1M R6 3 7 10K E NA V DD # R6 3 9 0 Z61 2 D Q7 9 S 2N 70 02(R) LC DV DD C ON T A D J G D Q2 S 2N 700 2 Z29 4 C6 3 5 .1U U9 1 D 2 D 3 G S I3 45 6DV C6 3 4 .1U D D S 6 5 4 C6 2 6 .1U C7 4 4.7U 8 D IS P OFF # D IS P OFF # R6 2 3 R LC DV DD 6 EN A BK KL # EN A BK KL # G D Q7 8 S 2N 700 2 R6 1 6 E NA B K K L 10K R6 2 0 0 E NAB L E NA B K K L E NAB L

FOR DS TN

6,8 E NA V DD #

8 FP _V DD EN

FP _V DD EN

FOR D STN

B RIG AD J C6 2 5 .1U C6 2 4 4.7U C6 0 1 .1U C6 0 0 4.7U

C ON T A D J

B RIG AD J 1 9 C6 1 2 .1U C6 1 3 4.7U

FOR DS TN
V CC +12 V D6 6 A D6 5 A C F1J 4 C F1 J 4(R) E NAB L 1 9 B RIG AD J 8 8 LP FLM
LP FLM

IN V V CC E NAB L B RIG AD J Z80 4 Z80 2 D IS P OFF #


CL2 CO NT ADJ

R7 4 9 R7 5 0

0 0 C7 0 2 C C7 0 3 C 8 D IS P OFF # 8 CL 2 C ON T A D J 8 LDE 8 PA N ELID 0 8 PA N ELID 1

LDE PA N ELID 0 PA N ELID 1 LC DV DD

6 6 6 6 6 6

Y0 M Y0 P Y1 M Y1 P Y2 M Y2 P

Y0M Y 0P Y1M Y 1P Y2M Y 2P CLKO UT M CLKO UT P

R4 4 R4 3 R4 0 R3 9 R3 8 R3 4 R3 3 R3 2

18 18 18 18 18 18 18 18

T X OU T U 0T X O UT U0 + T X OU T U 1T X O UT U1 + T X OU T U 2T X O UT U2 + T XC LK UT X CL K U + C6 3 2 C6 3 3 C6 4 3 C6 4 4 C6 4 5 C6 4 8 C6 4 9 C6 5 5 10P 10P 10P 10P 10P 10P 10P 10P

6 C LK OU T M 6 C LK OU T P

CN 9 Z56 9 1 1 Z57 0 2 2 Z57 1 3 3 Z57 2 4 4 Z57 3 5 5 Z57 4 6 6 7 7 Z57 5 8 8 Z57 6 9 9 Z57 7 10 10 Z57 8 11 11 Z57 9 12 12 Z58 0 13 13 14 14 Z58 1 15 15 Z58 2 16 16 Z58 3 17 17 Z58 4 18 18 Z58 5 19 19 Z58 6 20 20 21 H RS _R _H EA D2 0 22 23 24 25 26 27 28 29 30 H RS _R _H EA D3 0

CN 6

R5 4 4 R5 4 5 R5 4 8 R5 4 9 R5 5 0 R5 6 0 R5 6 1 R5 8 2 R5 8 3 R5 8 4 R5 9 6 R5 9 3 R5 9 7 R5 9 4 R5 9 8 R5 9 5 R6 0 4 R6 0 3 C5 1 3 C5 1 4 C5 2 0 C5 2 1 C5 2 2 C5 4 0 C5 4 1 C5 4 6 C5 4 7 C5 4 8 C5 5 9 C5 5 6 C5 6 0 C5 5 7 C5 6 1 C5 5 8 C5 7 1 C5 7 0 R R R R R R R R R R R R R R R R R R

0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0

T FT _B 0 T FT _B 1 DS T N_ UD 0 DS T N_ UD 1 DS T N_ UD 2 DS T N_ UD 3 DS T N_ UD 4 DS T N_ UD 5 DS T N_ UD 6 DS T N_ UD 7 D ST N _ LD 0 D ST N _ LD 1 D ST N _ LD 2 D ST N _ LD 3 D ST N _ LD 4 D ST N _ LD 5 D ST N _ LD 6 D ST N _ LD 7

T FT _B 0 8 T FT _B 1 8 DS T N_ UD 0 DS T N_ UD 1 DS T N_ UD 2 DS T N_ UD 3 DS T N_ UD 4 DS T N_ UD 5 DS T N_ UD 6 DS T N_ UD 7 D ST N _ LD 0 D ST N _ LD 1 D ST N _ LD 2 D ST N _ LD 3 D ST N _ LD 4 D ST N _ LD 5 D ST N _ LD 6 D ST N _ LD 7

57
Near SiS630S and place top side
V CC 3 R5 1 6 R5 1 7 1 2 S5 4 3 Z74 0 R5 1 5 Z74 1 R5 1 4 4.7K 4.7K 4.7K 4.7K MD D3 3 MD D3 9 MD D3 2 MD D3 6 MD D3 3 MD D3 9 MD D3 2 MD D3 6 3,4 3,4 3,4 3,4 HC H_ DIP SW _ 2

V CC

R6 6 2 5.6K 16,17 ,1 9,2 0 16,17 ,1 9,2 0 16,17 ,1 9,2 0 16,17 ,1 9,2 0 16,17 ,1 9,2 0 16,17 ,1 9,2 0 16,17 ,1 9,2 0 16,17 ,1 9,2 0 SD 0 SD 1 SD 2 SD 3 SD 4 SD 5 SD 6 SD 7 V CC 1 2 3 U5 0 IN 1 IN 2 G ND O UT S N 7 4A HC T 1G0 2 4 C6 6 9 .1U V CC 5 SD 0 SD 1 SD 2 SD 3 SD 4 SD 5 SD 6 SD 7 P CSS 1 10K 3 4 7 8 13 14 17 18 11 1 U8 D0 D1 D2 D3 D4 D5 D6 D7 Q0 Q1 Q2 Q3 Q4 Q5 Q6 Q7 2 5 6 9 12 15 16 19 20 10 C6 5 6 .1U Z61 3 Z61 4 Z61 5 Z61 6 Z61 7 Z61 8 Z61 9 Z62 0 R6 5 9 R6 5 8 R6 5 7 R6 5 0 R6 4 9 R6 5 4 R6 5 5 R6 5 6 V CC 680 K 330 K 160 K 82K 39K 20K 10K 4.7K Z62 5 C5 9 1U R3 0 2.2K S G N D S 35 2 Z62 6 R6 6 1 D Q8 0 2N 700 2 S 10K V CC Q1 D C ON T A D J C ON T A D J

MD39 1: LVDS 0: CMOS MD36 1: 1024*768 0: 800*600 MD32,MD33: PANEL ID


1 6 P CS 1

V CC

R6 4 8

G F P _V C ON EN

F P _V C ON EN

V CC LE G ND OE 74H C T 37 3

P CS 1 IO W R #

Panel
1 2 3 4 1 2. 1 "

ID
TM 1 2 1S V -0 2L 04 L T1 21 S U -1 21 A A1 2 1S J 03 I TS V 5 3C 1

SAN Y O Sa m su ng ADI IBM

V M D 39 V M D 36 V M D 33 V M D 16 32,1 7,1 9 IO W R # 1 1 0 0 1 0 0 0 0 0 1 0 0 0 0 0

FOR D STN

T it le Size Docum ent Num ber

CLEVO q CO. C L EVO C O.

LCD INTERF ACE


B
Date:

71-51S00-D02
M onday, Septem ber 18, 2000 Sheet 7 of

V CC 3 8 R5 8 6 12 L _RX ER 12 L_ T XD 2 12 L_ T XD 1 12 L_ T XD 0 V CC C5 5 0 .1U 1K Z6 57 L _RX ER L_ T XD 2 L_ T XD 1 L_ T XD 0 15 12 9 7 4 16 U 43 G ND E# YD YC YB YA V CC Q S 32 57 E NA LCD IN E N AV DD


DOT CLK

S I1D I0D I1C I0C I1B I0B I1A I0A

1 13 14 10 11 6 5 3 2

U S E RS W # L_ R XE E R 92 11 S DO L_ T X DD 2 92 11 S CS L_ T X DD 1 92 1 1 S D IN L_ T X DD 0 9 211 S CL K

U S E RS W # 19 L_ R XE E R L_ T X DD 2 L_ T X DD 1 L_ T X DD 0 13 13 36 73 1 44 1 17 37 55 72 91 1 08 1 25 13 V DD V DD V DD V DD IO V DD IO V DD IO V DD IO V DD IO V DD IO V DD IO V DD IO 13 40 41 43 42 1 01 77 95 75 97 99 76 79 78 85 86 96 93 92 87 94 98 1 00 80 82 83 81 84 88 44 10 K 10 K 10 K Z5 98 Z5 99 Z6 00 45 47 46 48 49 U 44 31 30 33 27 26 25 24 23 22 16 15 14 13 12 11 8 7 6 5 4 3 29 28 21 20 10 9 39 34 35 32 1 36 1 38 1 43 1 42 1 41 1 40 1 39 1 37 1 34 1 32 1 35 1 03 1 05 1 07 1 11 1 13 1 15 1 17 1 19 1 18 1 16 1 14 1 12 1 10 1 06 1 04 1 02 1 31 1 22 1 30 1 27 1 24 1 33 1 29 1 20 1 21 1 23 S DR AMCS # S D RA MW E # S D RA MR A S # S D RA MC A S # Z5 88 T S DR AMB A S D RA MC K E S D RA MD QML S DR AMDQ MH S DR AMCLK 1 2 3 4 RN 64 1 2 3 4 RN 65 R6 4 0 LP P A N _CLK FL M T F T _B 0 T F T _B 1 D S T N _UD 0 D S T N _UD 1 D S T N _UD 2 D S T N _UD 3 D S T N _UD 4 D S T N _UD 5 D S T N _UD 6 D S T N _UD 7 DS T N _ LD 0 DS T N _ LD 1 DS T N _ LD 2 DS T N _ LD 3 DS T N _ LD 4 DS T N _ LD 5 DS T N _ LD 6 DS T N _ LD 7 Z6 06 Z6 07 Z6 08 Z6 09 Z6 10 Z6 11 DI SP OF F# F P_ V DD E N FP _ VC ON E N LDE T T T T T T DI SP OF F# 7 F P_ V DD E N 7 FP _ VC ON E N 7 LDE 7 S D MA 0 S D MA 1 S D MA 2 S D MA 3 S D MA 4 S D MA 5 S D MA 6 S D MA 7 S D MA 8 S D MA 9 S D MA 10 S D MD 0 S D MD 1 S D MD 2 S D MD 3 S D MD 4 S D MD 5 S D MD 6 S D MD 7 S D MD 8 S D MD 9 S D MD 10 S D MD 11 S D MD 12 S D MD 13 S D MD 14 S D MD 15 8 7 6 5 8 P4 R -10 8 7 6 5 8 P4 R -10 10 S D CS # S DW E # S DR AS # S DC AS # S D BA S DC KE S DD QML S D DQ MH S D CL K 21 22 23 24 27 28 29 30 31 32 20 2 3 5 6 8 9 11 12 39 40 42 43 45 46 48 49 18 15 17 16 19 34 14 36 35 U 11 A0 A1 A2 A3 A4 A5 A6 A7 A8 A9 A 10 AP DQ 0 DQ 1 DQ 2 DQ 3 DQ 4 DQ 5 DQ 6 DQ 7 DQ 8 DQ 9 DQ 10 DQ 11 DQ 12 DQ 13 DQ 14 DQ 15 CS # WE# R AS # C AS # BA C KE LD QM UD QM CLK K M41 6S 11 20 DT -G /F 1 0 V CC 3 V DD V DD V D DQ V D DQ V D DQ V D DQ 1 25 7 13 38 44 C6 2 8 C6 2 7 C6 1 9 C6 2 1 C6 2 0 C6 1 8 C 76 .1U .1U .1U .1U .1U .1U 10 U C 79 .1U C5 8 4 C5 6 2 C5 4 3 C5 4 4 C5 4 5 .1U .1U .1U .1U .1U C6 1 7 10 U

S CLK S D IN S CS S DO E N A_ LCD IN E N A_ V DD IN E NA _DIS P D OT CL K F P_ H SY NC F P_ V SY NC R ED 0 R ED 1 R ED 2 R ED 3 R ED 4 R ED 5 G RE EN 0 G RE EN 1 G RE EN 2 G RE EN 3 G RE EN 4 G RE EN 5 B LUE 0 B LUE 1 B LUE 2 B LUE 3 B LUE 4 B LUE 5 R ST # T ES TA T E ST _ SE S C AN _ EN X T A LIN X T AL O UT

LP S H FCLK FL M LD 2 LD 3 LD 4 LD 5 LD 6 LD 7 LD 1 0 LD 1 1 UD 0 UD 1 UD 2 UD 3 UD 6 UD 7 UD 8 UD 9 UD 10 UD 11 LD 0 LD 1 LD 8 LD 9 UD 4 UD 5

LP 7 P A N _CLK FL M 7 T F T _B 0 T F T _B 1 7 7 7 7 7 7 7 7 7 7 P A N _CLK DS T N _ LD 0 DS T N _ LD 1 DS T N _ LD 2 DS T N _ LD 3 DS T N _ LD 4 DS T N _ LD 5 DS T N _ LD 6 DS T N _ LD 7 7 7 7 7 7 7 7 7

E NA LCD IN E N AV DD 5P 6 P [ 0.. 17] 6 D OT CL K 6 V B H SY NC 6 V B V SY NC P [ 0.. 17]

C7 0 4

V B H SY NC V B V SY NC P0 P1 P2 P3 P4 P5 P6 P7 P8 P9 P 10 P 11 P 12 P 13 P 14 P 15 P 16 P 17

D S T N _UD 0 D S T N _UD 1 D S T N _UD 2 D S T N _UD 3 D S T N _UD 4 D S T N _UD 5 D S T N _UD 6 D S T N _UD 7

V CC 3
PA N_CLK

R5 9 9 R6 0 0

1 0K (R) 0
CL2

C L2

V CC 3 92 11 S DO 92 11 S CS 92 1 1 S D IN 9 211 S CL K 1 2 3 4 RN 72 8 7 6 5 8P 4 R-1 0K

C5 7 2 1 0P (R)

9,1 0,1 3 ,1 4,1 6, 21 ,2 3

P C IR S T #

P C IR S T # R5 6 5 R5 5 2 R5 6 6

DI SP OF F# F P_ V DD E N FP _ VC ON E N LDE MA 0 MA 1 MA 2 MA 3 MA 4 MA 5 MA 6 MA 7 MA 8 MA 9 MA 10 MD 0 MD 1 MD 2 MD 3 MD 4 MD 5 MD 6 MD 7 MD 8 MD 9 MD 10 MD 11 MD 12 MD 13 MD 14 MD 15 CS # WE# R AS # C A S L# CA SH # O E #/ B A C KE # D QML DQ MH V S S IO V S S IO V S S IO V S S IO V S S IO V S S IO V S S IO

16 9 211 _O S C

9 211 _O S C T Z5 97

10 K E N AV DD C 6, 7 E N AV DD # E N AV DD # B Q 77 E D T C 114 E UA E N AV DD

19 54 V S S 89 V S S 1 28 V S S V SS

V CC 3

R6 4 1 R6 4 2

20 K R

E NA LCD IN

E NA LCD IN

2 18 38 71 90 1 09 1 26

58

V CC 3 7 P A N EL ID 0 7 P A N EL ID 1

R5 6 7 R5 6 9 P A N EL ID 0 P A N EL ID 1

20 K 20 K R5 7 0 R5 6 8 R 51 R 50 R 49 R 48 R 47 R 46 3 30 3 30 10 0K 10 0K 10 0K 10 0K 10 0K 10 0K Z5 89 Z5 90 Z5 91 Z5 92 Z5 93 Z5 94 Z5 95 Z5 96 70 69 68 67 66 65 64 63 GP IO 0 GP IO 1 GP IO 2 GP IO 3 GP IO 4 GP IO 5 GP IO 6 GP IO 7

V CC 3

NC NC RFU

33 37

Z6 05

50 51 52 53 56 57 58 59 60 61 62 74 V CC 3

NC NC NC NC NC NC NC NC NC NC NC NC

V S SQ V S SQ V S SQ V S SQ V SS V SS

47 41 10 4 50 26

R5 7 1

CLK C S 92 11


T it le Size Docum ent Num ber

CLEVO CO.
q

C LE VO C O .

TFT TO DSTN - CS9211


C us t om
Date:

71-51S00-D02
S heet 8 of

Monday, Sept em ber 18, 2000

1 4,2 3

A D[0 ..3 1 ]

AD[ 0.. 31] AD0 AD1 AD2 AD3 AD4 AD5 AD6 AD7 AD8 AD9 AD10 AD11 AD12 AD13 AD14 AD15 AD16 AD17 AD18 AD19 AD20 AD21 AD22 AD23 AD24 AD25 AD26 AD27 AD28 AD29 AD30 AD31

IDEA VDD

R 496 C 449 .1 U

0 C 453 4 .7 U

V C C1 .8

C 448 .00 1 U

V CC 3 AH 15 1 2 3 4 AE 13 AG8 A F9 AH 9 AH 8 A F8 A J8 AD 14 AE 14 AG9 A F1 0 A J9 P IO RD Y P D RE Q IDE -IRQ 1 4 CB L ID A P DIOR # P D IO W # P D A CK # P DA 2 P DA 1 P DA 0 C S3P # C S1P # P IO RD Y 1 0 P D RE Q 1 0 IDE -IRQ 1 4 1 0 CB L ID A 1 0 P DIOR # 1 0 P D IO W # 1 0 P D A CK # 1 0 P DA 2 1 0 P DA 1 1 0 P DA 0 1 0 C S3P # 10 C S1P # 10 1 2 3 4 RN 6 3 8 P 4R -1 0 K 8 7 6 5 8 P 4 R-8 .2 K 1 2 3 4 8 P 4 R-4 .7 K 8 7 6 5 8 P 4 R-2 .7 K 8 7 6 5 8 P 4 R-2 .7 K 4 3 2 1 4 .7 K 4 .7 K R
C/ BE#3 C/ BE#2 C/ BE#1 C/ BE#0

D1 E4 J4 E3 K6 E2 E1 F4 F2 K5 F1 G4 G3 G2 G1 L5 K4 K3 M5 K2 K1 L3 N6 L2 M4 N5 M3 M2 M1 N4 P6 N3

U2 5 B

AD 31 AD 30 AD 29 AD 28 AD 27 AD 26 AD 25 AD 24 AD 23 AD 22 AD 21 AD 20 AD 19 AD 18 AD 17 AD 16 AD 15 AD 14 AD 13 AD 12 AD 11 AD 10 AD 9 AD 8 AD 7 AD 6 AD 5 AD 4 AD 3 AD 2 AD 1 AD 0

P REQ#2 P REQ#1 P REQ#0

C1 C2 C3 D2 D3 D4 F3 H4 J1 L1 N1 P4 P5 P3 H3 H2 H1 J2 B 11 M6 J3 L4 A J 15 C 11

1 4 P GN T # [0..2 ]

PG NT #[ 0. . 2] PG NT #2 PG NT #1 PG NT #0

P R E Q # [2] P R E Q # [1] P R E Q # [0] P G NT # [2] P G NT # [1] P G NT # [0] C/B E # [3 ] C/B E # [2 ] C/B E # [1 ] C/B E # [0 ] INT A # INT B # INT C # INT D # FR A ME # IRDY # T RDY # S T OP # S E RR # PA R DE V S E L # P L OCK # P CICL K P CIRS T #

ID E A V D D

1 4 P RE Q# [0..2 ]

PREQ#[ 0. . 2]

C/B E # 3 C/B E # 2 C/B E # 1 C/B E # 0

1 4,2 3 1 4,2 3 1 4,2 3 1 4,2 3

PCI Interface

IC HR DY A IDR E Q[A ] IIRQ A CB L ID A IIO R#[A ] IIOW # [A ] IDA C K # [A ] IDS A A [2 ] IDS A A [1 ] IDS A A [0 ] ID E C S A # [1] ID E C S A # [0]

RN 2 4 8 7 6 5 RN 8

INT #A INT #D INT #C INT #B

INT # A 1 4 INT # D INT # C INT # B

1 4,2 3

C /B E # [0..3 ]

C/ BE#[ 0. . 3] C/ BE#3 C/ BE#2 C/ BE#1 C/ BE#0

1 2 3 4

ST OP# PERR# SERR# P LO CK#

S T OP # 1 4 P E RR # 1 4 S E RR # 1 4 P L OCK #

1 4 INT # A INT # B INT # C INT # D 1 4,2 3 FR A ME # 1 4,2 3 IRDY # 1 4,2 3 T RDY # 1 4 S T OP # 1 4 S E RR # 14 PA R 1 4 DE V S E L # P L OCK # 1 1 6 30 P CL K 8 ,1 0 ,1 3 ,14 ,1 6,2 1,2 3 P CIRS T #
630PCLK

INT #A INT #B INT #C INT #D FRA ME# IRDY # T RDY# ST OP# SERR# PAR DEVSEL# P LO CK#

SiS630S-2

RN 7

IC HR DY B IDR E Q[B ] IIRQ B CB L ID B IIO R#[B ] IIOW # [B ] IDA C K # [B ] IDS A B [2 ] IDS A B [1 ] IDS A B [0 ] ID E C S B # [1] ID E C S B # [0]

AH 13 AD 17 A F1 5 AG15 AG13 A F1 3 A J 13 AG14 A F1 4 AD 18 A J 14 AH 14

S IO RD Y S D RE Q IDE -IRQ 1 5 CB L ID B S DIOR # S D IO W # S D A CK # S DA 2 S DA 1 S DA 0 C S3S # C S1S #

S IO RD Y 1 0 S D RE Q 1 0 IDE -IRQ 1 5 1 0 CB L ID B 1 0 S DIOR # 1 0 S D IO W # 1 0 S D A CK # 1 0 S DA 2 1 0 S DA 1 1 0 S DA 0 1 0 C S3S # 10 C S1S # 10

FRA ME# IRDY # T RDY# DEVSEL#

FR A ME # 1 4,2 3 IRDY # 1 4,2 3 T RDY # 1 4,2 3 DE V S E L # 1 4

5 6 7 8

RN 1 9

P REQ#0 P REQ#2 PG NT #0 PG NT #2 P REQ#1 PG NT #1

PR EQ#0 PR EQ#2 P G NT # 0 P G NT # 2 PR EQ#1 P G NT # 1 CB L ID B

14 14

P CIRS T #

R 136

33

Z 1 00

IDE Interface
AE 8 A E 1 2 IDA 0 A G 7 IDA 1 A J 6 IDA 2 A D 1 2 IDA 3 A F6 IDA 4 A E 1 1 IDA 5 A H 5 IDA 6 A J 5 IDA 7 A E 6 IDA 8 A G 6 IDA 9 A H 6 IDA 1 0 A F7 IDA 1 1 A H 7 IDA 1 2 A J 7 IDA 1 3 A D 1 3 IDA 1 4 IDA 1 5

R 367 R 366 R 450

AE 17 A G 1 2 IDB 0 A F1 2 IDB 1 A H 1 1 IDB 2 A E 1 6 IDB 3 A J 1 0 IDB 4 A D 1 5 IDB 5 A E 1 5 IDB 6 A G 1 0 IDB 7 A H 1 0 IDB 8 A F1 1 IDB 9 A G 1 1 IDB 1 0 A J 1 1 IDB 1 1 A D 1 6 IDB 1 2 A H 1 2 IDB 1 3 A J 1 2 IDB 1 4 IDB 1 5

CB L ID B

10

S i S 63 0 S R 396 R 612 10 K 10 K
PAR CLKRUN#

DDS 0 DDS 1 DDS 2 DDS 3 DDS 4 DDS 5 DDS 6 DDS 7 DDS 8 DDS 9 D DS 1 0 D DS 1 1 D DS 1 2 D DS 1 3 D DS 1 4 D DS 1 5

DDP 0 DDP 1 DDP 2 DDP 3 DDP 4 DDP 5 DDP 6 DDP 7 DDP 8 DDP 9 D DP 1 0 D DP 1 1 D DP 1 2 D DP 1 3 D DP 1 4 D DP 1 5

PA R 14 CL K RUN #

1 4,1 7

D9

C 422 .01 U

6 DD CD A 6 D DCL K 6 RE D

DD CD A D DCL K RE D GR E E N BLU E

59
VC C VC C R 90 2 .2 K R 82 2 .2 K 6 GR E E N 6 BLU E 6 HS Y N C 6 VS YN C HS Y N C VS YN C C 114 R 443 33 P 7 5_ 1% 33 P 7 5_ 1% 7 5_ 1% C 131 R 407 R 426

DD P [0 ..1 5 ] DD S [0 ..1 5 ]

DD P [0 ..1 5 ] DD S [0 ..1 5 ]

10 10

a 630S CHIP PCI BUS INTERFA CE

V GA _ V C C

V GA _ V C C

V CC 3

D 58

C 386 .01 U L 35 B K 2 12 5 HS 3 30 V GA _ V C C V GA _ V C C

DA 2 21

DA 2 21

L 31 L 24 L 32 L 33 L 28

B K 1 60 8 HS 3 30 B K 1 60 8 HS 3 30 B K 1 60 8 HS 1 21 B K 1 60 8 HS 1 21 B K 1 60 8 HS 1 21

R 86 R(0 80 5) Z91 Z92 Z93 Z94 Z95 Z96 Z 2 97 Z97 Z98 Z99 1 9 2 10 3 11 4 12 5 13 6 14 7 15 8

CN 1 7 19

T T L 34 L 25 B K 1 60 8 HS 1 21 B K 1 60 8 HS 1 21

C 404 .1 U

C 123 33 P

D7 DA 2 21

C 385 33 P

C 384 33 P

C 403 33 P

C 420 2 20 P

C 375 2 20 P

C 421 2 20 P

C 402 2 20 P

18 P A N_ C RT _ DB 1 5 CN 1 7 P IN 1 8 -3 5 -> GN D

V GA _ V C C C 124 .01 U
T it le


Siz e Docum ent Num ber

CO. CLEVO q C L E V O C O.

SiS630S IDE INTERFA CE


Cu s to m
Dat e:

71-51S00-D 02
Sheet 9 of

Monday, Septem ber 18, 2000

8 ,9 ,1 3, 14,1 6,21 ,2 3

PC I RS T #

PC I RS T # C8 9

9 9 9 9

DD P 6 DD P 7 DD P 8 DD P 9

DD P 6 DD P 7 DD P 8 DD P 9 DD P 4 DD P 5 D D P1 0 D D P1 1

RN9 8 7 6 5 8 7 6 5 R N1 0 R N1 1 1 2 3 4 1 2 3 4 R N1 2

8P 4R -1 0 1 2 3 4 1 2 3 4 8P 4R -1 0 8P 4R -1 0 8 7 6 5 8 7 6 5 8P 4R -1 0 82 10 82

R P DD P 6 P DD P 7 P DD P 8 P DD P 9 P DD P 4 P DD P 5 PD D P 1 0 PD D P 1 1 P DD P 6 P DD P 7 P DD P 8 P DD P 9 P DD P 4 P DD P 5 PD D P 1 0 PD D P 1 1 P DD P 7 P DD P 6 P DD P 5 P DD P 4 P DD P 3 P DD P 2 P DD P 1 P DD P 0 P PD R EQ P PD I OW # PP D IO R # P P IO R DY PP D A C K # P HD I RQ P PD A 1 P PD A 0 PC S 1P # PH D _L E D # 1 3 5 7 9 11 13 15 17 19 21 23 25 27 29 31 33 35 37 39 41 43 45 47

C N2 2

9 DD P 4 9 DD P 5 9 D D P1 0 9 D D P1 1

P DD P 7 P DD P 6 P DD P 5 P DD P 4 P DD P 3 P DD P 2 P DD P 1 P DD P 0 P PD R EQ P PD I OW # PP D IO R # P P IO R DY PP D A C K # P HD I RQ P PD A 1 P PD A 0 PC S 1P # PH D _L E D #

P DD P 2 P DD P 3 PD D P 1 2 PD D P 1 3 P DD P 0 P DD P 1 PD D P 1 4 PD D P 1 5

P DD P 2 P DD P 3 PD D P 1 2 PD D P 1 3 P DD P 0 P DD P 1 PD D P 1 4 PD D P 1 5

DD P 2 DD P 3 D D P1 2 D D P1 3 DD P 0 DD P 1 D D P1 4 D D P1 5

DD P 2 9 DD P 3 9 D D P1 2 9 D D P1 3 9 DD P 0 9 DD P 1 9 D D P1 4 9 D D P1 5 9

9 ID E -I R Q1 4 9 P IO R DY 9 PD R E Q

ID E -I R Q1 4 P IO R DY PD R E Q

R 53 1 R 53 0 R 52 7

P HD I RQ P P IO R DY P PD R EQ

T P HD I RQ P P IO R DY P PD R EQ

Z10 1

2 4 6 8 10 12 14 16 18 20 22 24 26 28 30 32 34 36 38 40 42 44 46 48

P DD P 8 P DD P 9 PD D P 1 0 PD D P 1 1 PD D P 1 2 PD D P 1 3 PD D P 1 4 PD D P 1 5 Z10 2

P DD P 8 P DD P 9 PD D P 1 0 PD D P 1 1 PD D P 1 2 PD D P 1 3 PD D P 1 4 PD D P 1 5 T R 53 6 T T

FD D _V CC

Z665

P D_ CS E L Z73 8 P PD A 2 PC S 3P #

R 0

Z10 9
Z664

P D_ CS E L 1 7 3MOD E # R 74 5 P PD A 2 PC S 3P # HD D _V CC R 74 6 0 R C B LI DA C B LI DA 9

3MOD E #

R 53 5

Z11 0

Z663

1 3 5 7 9 11 13 15 17 19 21 23 25

C N1 8 1 3 5 7 9 11 13 15 17 19 21 23 25 2 4 6 8 10 12 14 16 18 20 22 24 26

2 4 6 8 10 12 14 16 18 20 22 24 26

I N DE X # D R V0 # DS K CH G #
Z666

I N DE X D R V0 DS K C T MT R0 DI R # S TE P W D AT W G AT T R K0 WP# R D AT HD S E

MT R0 # DI R # S TE P # W D AT A # W G AT E # T R K0 # WP# R D AT A # HD S E L #

Z10 3 Z10 4

T T

A CE S _S _FD D_ FC C2 6

SP E E D _S _B /B _ H D D4 8 V CC

HD D _V CC PH D _L E D # P P IO R DY ID E -I R Q1 4 DD P 7 P PD R EQ P D_ CS E L R 53 2 R 52 9 R 52 5 R 52 4 R 52 8 R 55 1 10K 4. 7K 10K 10K 5. 6K 47 0 SH D _L E D # S S IO R DY ID E -I R Q1 5 DD S 7 S SD R EQ S D_ CS E L R5 7 R6 1 R5 8 R6 2 R 52 2 R5 6 10K 4. 7K 10K 10K 5. 6K 47 0

C D _V CC R N5 3 8 P 4 R-1K

8 7 6 5

NEAR TO CONNECTOR
PH D _L E D # 9 PD I OW # 9 P D IO R # 9 PD A 2 9 P D AC K # 9 C S 1P # 9 PD A 0 9 C S 3P # 9 PD A 1 PD I OW # P D IO R # PD A 2 P D AC K # C S 1P # PD A 0 C S 3P # PD A 1 R 47 6 R 48 8 R 44 9 R 48 7 1 2 3 4 R N5 0 22 10 33 33 8 7 6 5 8P 4R -3 3 P PD I OW # PP D IO R # P PD A 2 PP D A C K # PC S 1P # P PD A 0 PC S 3P # P PD A 1 P PD I OW # PP D IO R # P PD A 2 PP D A C K # PC S 1P # P PD A 0 PC S 3P # P PD A 1 P P IO R DY 9 ID E -I R Q1 4 9 DD P 7 P PD R EQ P D_ CS E L SH D _L E D # S S IO R DY 9 ID E -I R Q1 5 9 DD S 7 S SD R EQ S D_ CS E L

R 53 4 10K 1 2 3 4

R 62 2 1K

1 7 R D AT A # 1 7 T R K0 # 1 7 DS K CH G # 1 7 I N DE X # 1 7 3MOD E # 17 WP#

R D AT A # T R K0 # DS K CH G # I N DE X # 3MOD E # WP#

NEAR TO SiS630S
C D _V CC HD D _V CC L7 0 DD S 8 DD S 9 D D S1 0 D D S1 1 D D S1 2 D D S1 3 D D S1 4 D D S1 5 R N5 2 4 3 2 1 4 3 2 1 R N5 1 R N5 5 5 6 7 8 5 6 7 8 R N5 4 9 ID E -I R Q1 5 9 S IO R DY 9 SD R E Q ID E -I R Q1 5 S IO R DY SD R E Q R5 9 R6 0 R 52 3 8P 4R -1 0 5 6 7 8 5 6 7 8 8P 4R -1 0 8P 4R -1 0 4 3 2 1 4 3 2 1 8P 4R -1 0 82 10 82 CD I RQ S S IO R DY S SD R EQ CD I RQ S S IO R DY S SD R EQ 1 J 32 16 HS 48 0 C 50 1
+ C 52 6

C 46 2 C 50 2 . 01U . 1U 4. 7U

C 46 3 . 1U

C 10 0 4. 7U

9 9 9 9

D D S1 2 D D S1 3 D D S1 4 D D S1 5

SD D S 1 2 SD D S 1 3 SD D S 1 4 SD D S 1 5

SD D S 1 2 SD D S 1 3 SD D S 1 4 SD D S 1 5

9 9 9 9 9 9 9 9

DD S 7 DD S 6 DD S 5 DD S 4 DD S 3 DD S 2 DD S 1 DD S 0

DD S 7 DD S 6 DD S 5 DD S 4 DD S 3 DD S 2 DD S 1 DD S 0

S DD S 7 S DD S 6 S DD S 5 S DD S 4 S DD S 3 S DD S 2 S DD S 1 S DD S 0

S DD S 7 S DD S 6 S DD S 5 S DD S 4 S DD S 3 S DD S 2 S DD S 1 S DD S 0

8 ,9 ,1 3, 14,1 6,21 ,2 3

PC I RS T #

PC I RS T # C 49 5 R C D_ L CD G ND S DD S 7 S DD S 6 S DD S 5 S DD S 4 S DD S 3 S DD S 2 S DD S 1 S DD S 0 S SD I OW # S S IO R DY CD I RQ S SD A 1 S SD A 0 SC S 1S # SH D _L E D # 1 3 5 7 9 11 13 15 17 19 21 23 25 27 29 31 33 35 37 39 41 43 45 47 49 C N1 9 A UX A L A G ND R E SE T # DD7 DD6 DD5 DD4 DD3 DD2 DD1 DD0 G ND I OW # I O CH R DY I RQ DA1 DA0 C S1 FX # D AS P # +5V +5V G ND G ND CS E L N .C AU X A R A G ND DD8 DD9 D D1 0 D D1 1 D D1 2 D D1 3 D D1 4 D D1 5 D RQ IO R # G ND D AC K # I O CS 16 # PD I AG DA2 C S3 FX # +5V +5V +5V G ND G ND G ND R ES E R V ED 2 4 6 8 10 12 14 16 18 20 22 24 26 28 30 32 34 36 38 40 42 44 46 48 50 R6 5 0 Z10 7

C D _V CC

2 1 C D_ L 2 1 CD G ND S DD S 7 S DD S 6 S DD S 5 S DD S 4 S DD S 3 S DD S 2 S DD S 1 S DD S 0 S SD I OW # S S IO R DY CD I RQ S SD A 1 S SD A 0 SC S 1S # SH D _L E D #

CD _R S DD S 8 S DD S 9 SD D S 1 0 SD D S 1 1 SD D S 1 2 SD D S 1 3 SD D S 1 4 SD D S 1 5 S SD R EQ SS D IO R # SS D A C K # Z10 6 C B LI DB S SD A 2 SC S 3S #

PH D _L E D #

SS D A C K # T C B LI DB 9 S SD A 2 SC S 3S # SH D _L E D # C D _V CC E

9 SD A 1 9 SD A 0 9 C S 1S # 9 C S 3S #

SD A 1 SD A 0 C S 1S # C S 3S #

8 7 6 5 R N1 3

1 2 3 4 8P 4R -3 3

S SD A 1 S SD A 0 SC S 1S # SC S 3S #

S SD A 1 S SD A 0 SC S 1S # SC S 3S #

L6 4 Z10 8

B K 2125 HS 33 0 T
T itle

S D_ CS E L T

S D_ CS E L Z10 5

60
9 DD S 8 9 DD S 9 9 D D S1 0 9 D D S1 1 9 SD A 2 9 SD I OW # 9 S D IO R # 9 S D AC K # SD A 2 SD I OW # S D IO R # S D AC K #

V CC L2 2 J 32 16 HS 48 0 C 46 1
+ C 46 7

FD D _V CC L7 1 J 32 16 HS 48 0

V CC

V CC

C9 9 C 48 9 C9 6 . 1U C9 7 10U C 49 0 . 1U C9 8 4. 7U 4. 7U . 01U

S DD S 8 S DD S 9 SD D S 1 0 SD D S 1 1

S DD S 8 S DD S 9 SD D S 1 0 SD D S 1 1

C 48 2 . 01U

C 48 3 . 1U

C 52 5 4. 7U

. 1U 1 00 U / 10V

1 00 U / 10V

CD _R 2 1 S DD S 8 S DD S 9 SD D S 1 0 SD D S 1 1 SD D S 1 2 SD D S 1 3 SD D S 1 4 SD D S 1 5 S SD R EQ SS D IO R #

HD D _V CC

Q3

D2 C Z11 1 A 2N 390 6 1 S S 35 5 C HD _L E D HD _L E D

NEAR TO CONNECTOR

PH D _L E D #

R5 5

4. 7K

Z11 2

Q7 4

D6 4 C Z11 3 A 2N 390 6 1 S S 35 5 C

R7 4 R7 0 R6 9 R7 1

22 10 33 33

S SD A 2 S SD I OW # SS D IO R # SS D A C K #

S SD A 2 S SD I OW # SS D IO R # SS D A C K #

SH D _L E D #

R 54 2

4. 7K

Z11 4


Size Docum ent Num ber

CO. qCLEVO C LE VO C O .

S P EE D _ S _B /B _C DR O M5 0

NEAR TO SiS630S
Cu s tom
Date:

HDD/CDROM/FDD CONNECTOR 71-51S00-D02


Sheet 10 of Monday, Sept em ber 18, 2000

' s

a C L OC K GENER A TOR IC
U22 1 V DDR EF VD D VD D VD D VD D VD D VD D VD DPCI GNDR EF GN D GN D GN D GN D GN DPCI C PUC LK1 C PUC LK2 C PUC LK_F FS1/PC IC LK_F FS2 /PC IC LK1 PC IC LK2 PC IC LK3 PC IC LK4 PC IC LK5 PC IC LK6 FS 3/R EF0 R EF1 C PU2.5_3.3#/24_4 8MHz FS0/4 8MHz S DRA M_F0 S DRA M_F1 S DRA M0 S DRA M1 S DRA M2 S DRA M3 S DRA M4 S DRA M5 S DRA M6 S DRA M7 CPU _ST OP# P D# SD RAM_STO P# P CI_ST OP# 45 43 46 7 8 9 11 12 13 14 2 48 25 26 40 41 28 29 31 32 34 35 37 38 20 18 17 21 66MH z-1 66MH z-2 Z119 R477 R455 T R88 R479 R458 R457 R456 R433 R434 R480 R478 R99 R98 C424 10P C411 10P C426 10P C414 10P C413 10P C412 10P C397 10P C398 10P C427 10P C425 10P C382 10P R430 R431 R432 R453 R454 R93 T T C394 10P S DA_A T FF S CL_A T FF S DA_A T FF 15,23 S CL_A T FF 15,23 R735 10K R508 100K C454 .1U R685 10K R686 10K C395 10P C396 10P C409 10P C410 10P R415 22 22 22 22
MEMCLK1 MEMCLK2 MEMCLK3 MEMCLK4

C LKVC C L23 VC C3
CLKVCC

15 19 27 30 36 42 6

22 HCLKCPU 22 630CLK
FS1 FS2 33 PICCLK 33 PCLKT I 33 83626CLK

HC LKCP U 1 630C LK 3 FS1 FS2 PICC LK 1 PC LKTI 14 83626C LK 16 80PORT_C LK 23 869_PC LK 17 A GP_C LK 6 630PC LK 9 FS3 V OSCI 6 836 26CLK-14 VC C3 U SBC LK 12 FS0

B K2125H S330 C103 C443 .1U .001U C442 C109 C110 C126 C127 C125 C119 C118 C135 C108 10U .1U .001U .1U .001U .1U .001U .1U .001U 470P

3 16 22 33 39 10

P CI-2 P CI-3 P CI-4 P CI-5 P CI-6

33 33 33 33

80PORT_C LK 869_PC LK A GP_C LK


630PCLK

14-1 MOD E

FS3 22 VOSCI 22 83626CLK-14 10K 22 U SBC LK FS0

16

CLKV CC2.5 L27 V2.5


CLKVCC2.5

47

B K2125H S330 C441 C438 C106 C107 C436 C437 C439 C440 .1U .001U 10U .1U .001U .1U .001U 470P 44

VD DLCP U

Z120 Z121 Z122 Z123


MEMCLK-1 MEMCLK-2 MEMCLK-3 MEMCLK-4

T T T T

GN DL

Z124
630SDCLK-1

MEMC LK1 MEMC LK2 MEMC LK3 MEMC LK4

5 5 5 5

22 630SDCLK 0 0 CP U_ST P#

6 30SDC LK 3 CP U_ST P# 1,12,20

C104

22P Y2

14XIN-1

4 R94 1M

X1

Z127 Z126 Z128 Z687

1 4.318M_E C136 22P


14XIN-2

X2

S DAT A SC LK IC S9248-135

23 24

61
VC C3 FS0 R411 2.7K (R) FS0 VC C3 R414 R403 R402 R401 2.7K 2.7K 2.7K 2.7K Z115 Z116 Z117 Z118 1 2 3 4 S3 8 7 6 5 R413 R412 R399 R398 10K 10K 10K 10K 3,4 3,4 3,4 3,4 MD D44 MD D43 MD D42 MD D41 HCH_ DIP SW _4 FS0 1 BS EL1 FS1 FS2 FS3 FS0 BS EL1 FS1 FS2 FS3 R400 10K (R) 1/2 1/3 1/4 1/5 2/5 2/7 2/9 2/11 1/6 1/7 1/8 Res erved 2/13 2/15 2/3 1/2

C LKVC C

VC C3

VR_ON 24,27

8 7 6 5 VC C3
MDD44 MDD43 MDD42 MDD41

U18 GN D E N GN D IN GN D OU T GN D A DJ MIC5209B M

V2.5 1 2 3 4 C451 .1U VC C3 C450 R507 .1U 10K_1%(0805) R497 VRIOSE T 10.2K_1% C101 4.7U

R520 R521 R519 R518

4.7K (R) 4.7K (R) 4.7K (R) 4.7K (R)

CP U Frequ e ncy Ratio Se le ct


MD D44 (N MI) MD D43 (INTR) 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 MD D42 MD D41 (A20M#) (IGN NE#) 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 C679 C680 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 0 = Non-ST UFF 1 = ST UFF C681 C682 1 000P 1 000P

VC C3

VC C3 V2.5

C683 1 000P 1 000P 1 000P

C684 1 000P

C685 1 000P

C686 1 000P

SiS630S CLOCK
(FS3) S W2-4 0 0 0 (FS2) (FS1) S W2-3 S W2-2 0 1 1 0 0 1 (FS0) S W2-1 1 1 1 CP U (MH z) 100 100 133 S DRA M (MH z) 100 133 133 PCI (MH z) 33.33 33.33 R EF (MH z) 14.318 14.318

0 = OFF 1 = ON

FOR EMI

FOR EMI

FOR EMI

FOR EMI


T it le Size Docum ent Num ber

CLEVO q C CO. L E VO C O.
Rev A 11 of 29

14.318 33.33 N OTE: PC ICLK <37.5MHz

CLO CK G E NE R A TO R
C us tom
Date: Monday, Septem ber 18, 2000

71-51S 00-D02
Sheet

VC C 3 VD D 3 8 7 6 5 R N 14 R 475 R 353R 368R 385 U 25E 10K


P W R _ON #

V VC C 3 L _ TXD 0 L _ TXD 1 L _ TXD 2 S P D IF L _ R XER 4 .7 K R 562 R 563 R 585 4 .7 K R 564 4 .7 K R 444 4 .7 K ( R ) R 555 4 .7 K R 633 4 .7 K R 579 4 .7 K 4 .7 K 4 .7 K 4 .7 K 4 .7 K 4 .7 K

R 485 4 .7 K

R 484 4 .7 K R 683

8 P 4 R - 4 .7 K 4 .7 K 1 2 3 4

10K

10K E1 1 C7 B1 2 E1 0 B6 A6 D 13

1 9 PW R _ O N # SU S_ L ED # 1 9 E X T S M I# 2 2 6 3 0 PW R SW # 1 9 SC I 1 9 W AKE# 2 TAL ER T #

SU S_ L ED #
E X TS MI# 630P W R S W # SCI W A KE #

TAL ER T #

PSO N # A C P IL E D E X T S M I# PW R BT N # R IN G PM E# TH ER M#

A CPI In te r fa c e KB C In te r fa c e

LPC In te r fa c e

AG 4 0 AF 4 1 AJ 4 2 AE5 3 AF 5 LD R Q # AH 4 L F R AM E# AG 5 S IR Q LAD LAD LAD LAD C 18 D 16 D 18 B1 7 A1 7 B1 8 A1 8 C 17 D 17 AH 3 AG 3 A8

LA D 0 LA D 1 LA D 2 LA D 3 LD R EQ # LFR A ME # S IR Q N MI S MI# I NTR A 20M# I NI T# I G N NE # FE RR # STP C LK # C P U _S TP # S MB CLK S MB D A TA

LAD LAD LAD LAD

0 1 2 3

16 16 16 16 L D R E Q #1 6 L F R AM E# 1 6 S IR Q 1 4 ,1 6 ,1 7

L _ TXC L K R 634 L_C OL L_C R S R 575 L_ M D C L _ R XC L K R 556 L _ TXEN

1 5 V R _ H I/L O # 1 G C L _ L O /H I# G V_ G ATE 2 0 ,2 2 A C IN # 1 3 L _ TXD 3

V R _ H I/L O # G C L _ L O /H I# G V_ G ATE A C IN # R 3 5 4 L _ TXD 3

B7 A7 D8 0 Z1 30 C 8 B8

K B D A T /G P 1 0 K B C L K /G P 1 1 PM D A T /G P 1 2 PM C L K /G P 1 3 K L O C K# /G P 1 4 /T X D

C PU In te r fa c e

NMI S M I# IN T R A2 0 M # IN IT # IG N N E # FER R # ST PC L K# C P U S T O P # /C P U S L P

NMI 1 S M I# 1 IN T R 1 A2 0 M # 1 IN IT # 1 IG N N E # 1 FER R #1 S T P C L K # 1 ,2 0 C P U _ S T P # 1 ,1 1 ,2 0 SM BC L K 2 3 SM BD ATA 2 3 L _ TXEN 1 3 OC 0# L _ R XD V1 3 L _ M D IO 1 3 R 384 R 395 22 22

L39 VC C Z1 36 J3 2 1 6 H S4 8 0 C 347 .1 U

F3 U SBVC C C 3 3 9 PO L YFU SE 4 .7 U

T T R 105

AE7 Z1 31 AH 2 Z1 32 4 .7 K E N T E S T N 2

R ESER VE2 R ESER VE1 EN T EST

SM C L K SM B SM BD AT T X E N /G P 1 5 /S M B A L In te r fa c e

OC 0#

OC 0#

R 351

470K C 143

U SBVC

L _ TXEN D 5 1 1 S S 3 5 5 (R ) A C O C 0# L _ R XD V L _ M D IO
U V 0U V 0+ U V 1U V 1+ U V 2U V 2+ U V 3U V 3+ U V 4U V 4+ U V 5U V 5+ U S B CLK

R 339

560K

.0 1 U

L _ TXD 0 L _ TXD 1 L _ TXD 2 L _ R XER 1 3 L _ TXC L K 13 L_C OL 13 L_C R S 1 3 L_ M D C 1 3 L _ R XC L K

8 8 8 8

L _ TXD 0 L _ TXD 1 L _ TXD 2 L _ R XER L _ TXC L K L_C OL L_C R S L_ M D C L _ R XC L K

C 12 D 12 E1 7 F1 2 C 10 F1 4 C6 D9 D 10

G P 0 /P R E Q # 3 /T XD [ G P 1 /P G N T # 3 /T XD [ G P 2 /L D R Q 1 # /T XD [ G P 3 /R X E R G P 4 /T X C L K G P5 /C O L G P6 /C R S G P 8 /M D C G P 9 /R X C L K

M AC In te r fa c e S iS 63 0S -5 US B In te r fa c e

2 0 PC SPK 2 1 S P D IF

PCSPK S P D IF

E1 8 E1 2 Z6 50 Z6 51 Z6 52 B1 0 A1 0 A1 3 B1 3 Z6 53 D 11 C 13

SPK G P 7 /S P D IF

F1 0 R X D V /O C 0 # D 6 M D IO /O C 1 # H5 U V0 - J 5 U V0 + G 5 U V1 - H 6 U V1 + E5 U V2 - F 5 U V2 + E6 U V3 - F 6 U V3 + F8 U V4 - E 7 U V4 + E8 U V5 - D 7 U V5 + U SBC L K4 8 M A1 2

U SBVC C U SBP0 + U S B P0 R 352 R 122 L41 L38 L40 15K 15K J3 2 1 6 H S4 8 0 N 1 6 08 Z 3 0 1 N 1 6 08 Z 3 0 1 Z1 37 Z1 38 Z1 39 1 3 2 4 5 6 C N 12 V+ U SB+ U SB GND GND GND U SB_ R _ T 4

R R R R R R R R R R

106 114 394 107 393 115 116 382 381 383

15K 15K 15K 15K 15K 15K 15K 15K 15K 15K

C 361 47P

C 370 47P

C 338C 346 22P 22P

NEAR TO SiS630S
U SBC L K 1 1 C 350 VD D 3 C

NEAR TO CONNECTOR

A C _ S D IN [1 ] A C _ S D IN [0 ] A C _ SD O U T AC _ SYN C AC _ R ESET# A C _ B IT _ C L K

1 3 ,2 1 A C _ S Y N C 1 3 ,2 1 A C _ R S T #

A C _S Y N C AC _R S T#

A C97 In te r fa c e

B2 U SBVD D 0 B3 U SBVD D 1

R 364 U 28 1 PW R G O O D R 123 PW R G O O D 150K Z1 40 VC C R 363 3 4 5 C 141 MR # R S T - IN VC C

R (0)

R 365

10K

VC

R TC V D D

R TC V D D A 4 A3

R TC V D D R TC VSS

O SC 3 2 KH O

O S C 3 2 KH I

F1 3 F1 7 VSSA N 2 4 VSSB U 2 4 VSSC VSSD

B4

B5

Z1 33

C 307 C L48 1 3 ,2 1 S D A T A I SD ATAI B K1 6 0 8 H S 3 3 0 C 163 C L56 1 3 ,2 1 S D A T A O


S D A TA O

C 319 100K C

Z1 34

62

R ESET#

P W R OK

PW R

VC C 3 AU XO K 2 3 BATO K 1 PW R O KK C 678 .1 U
A U X OK B A TO K P W R OK K

C 360 GND M AX 6 3 0 6 2 C _0805

A5 C5 C4

AU XO K BATO K PW R O K

RT C In te r fa c e

M II In te r fa c e

R R R R

XD XD XD XD

C9 [0 ] B 9 [1 ] E 1 3 [2 ] A 9 [3 ]

100K L _ R XD 0 L _ R XD 1 L _ R XD 2 L _ R XD 3 L_R L_R L_R L_R XD XD XD XD 01 3 11 3 21 3 31 3 VC C 3 3 1 Y3 O SC VC C 1 .8 R 3 4 1


61.9K _1%

.1 U

R 330

1 0 0 K_ 1 % U 31

L AN C L K2 5 M S iS 6 3 0 S

D 5 Z1 35 R 68 4 C 663 10P

10 25MH z T Z7 28

VC C

4 2 C 692
.1U

L55 1 3 S D A T I- M
S D A TI -M

NC GND 25 M O SC

Z5 87 VC C 1 PW R G O O D PW R G O O D C 325C 145

3 4 5

VC C A VC C VC C 3

R ESET#

P W R OK

PW R

Z6 50 B K1 6 0 8 H S 3 3 0 R 308 R 340 Y4 10M Q91A D T B 1 1 4 EK ( S O T - 3 2 3 ) C 332 10P 1 9 PW R _ O N # VD D 3 R 83 1K R 473 Z6 52 B K1 6 0 8 H S 3 3 0 C 322 C C 321 2 2 U /1 0 V_ 1 2 1 0 C VC C 3 L54 100K C 696 D C 115 S Q66 2N 7002 G Z 1 4 3R 4 7 4
P W R _ON # A U X OK

GND L T C 1 7 2 8 ES5-5

.1 U
PW R _O N

.1 U

3 2 .7 6 8 K _ C C 333 Z6 51 10P R 445 C 170 100K C

VD D 3

P W R _ O N1 9 ,2 2 ,2 3 ,2 5 ,2 8 ,2 9

Q91 D T B 1 1 4EK( SO T - 23 )

AU XO K

VC C 3

VC C VC C 3 R 113 10K 10K Z1 41 G PW R G O O D P D Q61 S 2N 7002

1 K S H UTD O W N

SH U T D O W N 2 2 ,2 8 R 408 4 .7 K G C L _ G ATE G V_ G ATE R 392 10K G

R 380

.4 7 U
2 4 G C L _ G ATE

D Q12 S 2N 7002 C D 85 A F0 1 J 2 E

1 3 ,2 1 A C _ B C L K

A C _B CLK

Z6 53 C 299 C C 351 1 0 P (R ) SU S_ L ED # SU S_ L ED #

R 446 R 87

10K 0 SU SPEN D # SU SPEN D SU SPEN D #1 4 SU SPEN D 2 2

G V_ G ATE

B K1 6 0 8 H S 3 3 0

S Q8 D N D S0 6 1 0 B

C Q65 E D TD 1 14EK
Ti tle


Si ze Doc ument N umber

CO. q CLEVO C LEV O C O.


7 1 -5 1 S 0 0 -D 0 2
S heet 12 o

S i S 6 3 0 S C P U ,L P C,L A N ,U S B IN TE
SU S_ L ED SU S_ L ED 2 0 C u s to m
D ate: Monday, S eptember 18, 2000

L RX +

LRX+

R6 01 C5 73
LRX-

5 6.2 _1 % C5 64 .1 U Z660 R6 05 5 6.2 _1 % C

Transformer
1 2 3 4 7 8 6 L 80 RX + RX RX C NC T X+ TX T XC NC RD+ RD RDC NC T D+ TDT DC NC L F-H4 9 P 7 5_ 1% (0 80 5 ) 7 5_ 1% (0 80 5 ) 7 5_ 1% (0 80 5 ) 7 5_ 1% (0 80 5 ) L 12 16 15 14 13 10 9 11 12 RD+ RD Z1 47 Z1 54 Z1 48 T Z1 56
T D+ T D-

CN2 4 1 2 3 4 5 6 7 8 HRS _R_ RJ 4 5

CN2 5 2 1 HC _R_ RJ 1 1 Z1 57 Z1 58 L 84 L 83 B K 1 608HS 1 2 1 B K 1 608HS 1 2 1


HRT XRXN HRT XRXP

CN2 7 1 2 HRS _ R_ HE A D2

LRX -

C6 23

C Z1 44 Z1 45

T
PLACE NEAR THE TRANSFORMER

LT X+ LT X -

LT X+ LT X-

Z1 49 Z1 50 T R6 60 R6 44 R3 7 R3 1

R6 35 6 1.9 _1 %
Z659

R6 36 6 1.9 _1 %

Z1 46

R T T T Z2 92 Z1 59 Z1 60 Z1 61 Z1 62 Z1 63 1 3 5 7 9 11 13 15 17 19 21 23 25 27 29 CN2 6 MONO_ OUT A UDI O_ P D GND M ONO_ P HONE A UX R R_ D A UX L GND CDGND V CC CD_ R R_ D CD_ L R_ D GND P _ DN 3 .3V V CC GND GND 3 .3V S Y NC S DA T A _O S DA T A _I NB RE S E T # S DA T A _I NA GND GND MCL K B CL K MODE M 2 4 6 8 10 12 14 16 18 20 22 24 26 28 30 Z1 64 P HONE Z6 85 Z1 65 Z1 66 Z1 67 A C_ S Y NC S DA T I-M R6 77 A C_ B CL K T T T T P HONE V CC 21 R 1 0K

T P _ CP

T P _ CP C6 31 .1 U C6 22 C

J 32 16HS 48 0 C C6 30 .0 1U/ 2 K V (12 1 0) C

T T V DD3 1 2,2 1 S DA T A O 1 2,2 1 A C_ RS T # 8 ,9, 10, 1 4,1 6 ,21 ,23 P CI RS T # 2 1 MCL K S DA T A O A C_ RS T # P CI RS T # MCL K V CC3 R2 5 R2 6 R6 78 0 0 (R ) R

AC_RESET #

Z6 86

R S DA T A I

A C_ S Y N S DA T I-M S DA T A A C_ B CL

Z6 84

V CC3

R5 91 1 .5K 1 2 L _COL 1 2 L _CRS 1 2 L _MDC 1 2 L_ MDIO 1 2 L _RX CLK 1 2 L _RX DV 8 L _RX E E R 12 12 12 12 L _RX D0 L _RX D1 L _RX D2 L _RX D3 L _COL L _CRS L _MDC L_ MDIO L _RX CLK L _RX DV L _RX E E R L _RX D0 L _RX D1 L _RX D2 L _RX D3 L _T X DD0 L _T X DD1 L _T X DD2 L _T X D3 L _T X CLK L _T X E N R6 28 R6 27 R5 92 R5 90 R6 06 R6 02 R5 76 RN6 0 4 3 2 1 RN6 2 1 2 3 4 R5 77 R5 78 R6 08 R6 07 22 22 22 22 22 22 22 8 P 4R-2 2 5 6 7 8 8 P 4R-2 2 8 7 6 5 22 22 1K 1K COL CRS MDC MDI O RX CL K RX DV RX E R RX D0 RX D1 RX D2 RX D3 T X D0 T X D1 T X D2 T X D3 T X CL K T XEN T XER RX T R I 49 50 31 30 38 36 39 35 34 33 32 45 46 47 48 43 44 42 41 4 61 11 12 17 22 58 28 29 40 56 57 U4 5 COL CRS MDC MDI O RX CL K RX DV RX E R RX D0 RX D1 RX D2 RX D3 T X D0 T X D1 T X D2 T X D3 T X CL K T XEN T XER RX T R I VSS_T VSS_T VSS_R VSS_R VSS_R VSS_R VSS_R VSS_D V S S _I O V S S _I O V S S _I O VSS_D V DD_ D V D D_I O V D D_I O V D D_I O V DD_ T V DD_ T V DD_ R V DD_ R V DD_ R T P _ RX + T P _RX 25 37 54 51 7 63 8 15 16 13 14 5 6 3 20 55 59 60 62 64 1 19 2 24 26 27 21 23 Z1 68 P0AC P 1 CL P 2 LI P3T D P 4 RD NOD/ RE P MI I/S I Z1 69 Z1 70 Z1 71 Z1 72 Z1 73 HW /S W T T T T T Z2 91 C5 86 .1 U C5 51 .1 U C6 05 .1 U C5 74 .1 U B K 2 125HS 3 3 0 C5 63 .1 U C6 06 .1 U C5 53 .1 U C5 65 .1 U C6 07 .1 U L 72 V CC3 V CC3

RE F_OUT

RE F_IN

52

53

63

Med ia Ind ep en dent Interface (MII)

L RX + LRX LT X+ LT X T P _ CP T R6 79 R6 32 R6 31 R6 30 R6 29 R6 26 R6 80 R6 18 R5 88

L RX + LRX LT X+ LT X T P _ CP R 1K 1K 1K 1K 1K R 1K 1K V CC3

8 L _T X DD0 8 L _T X DD1 8 L _T X DD2 1 2 L _T X D3 1 2 L _T X CLK 1 2 L _T X E N

Tranform er T P _ T X + T P _T X Interface
T P _ CP NC P0AC P 1 CL P 2 LI P3T D P 4 RD

---> Trace Width : 24 mils

PHY Add ress

(A DD0 ) (A DD1 ) (A DD2 ) (A DD3 ) (A DD4 )

S e t P HY ad d res s =0 000 1

(RX T RI=0 T ri-S tate Off)

NOD/ RE P MI I/S I 1 0/1 00 S E L DP X S E L A NS E L L OCK Config urationL S T A HW /S W Pins

1 .HW / S W =1 S e t a s S W m o de 2 .MII/ S I=0 S e t as MII m o de

R5 89 R5 74

1K

V CC3

3 .NO D/RE P =0 NODE Ope ratio n V CC3 S e t tran s m i tt in g d riving c u rren t

12 .1 K _ 1% (08 0 5) 2 K _1% (08 05 ) 1. 54 K _ 1% (06 0 3)

1 0T CS R 1 00 T CS R RE S E T N ICS 189 3

9 10 18

1 00 T CS R 1 0T CS R RE S E T -

R5 72 R5 73 R5 87 C5 52 .1 U

I n S W m od e 1 0K V CC3 P i n2 (1 0/ 100 S E L ) is out p ut, s h o w no w i s 1 0 MHz or 10 0MHz L ow(0) i s 10 B a s e -T , Hi g h(1) is 10 0B a s e-T P in 24 (D P X S E L ) is o utp u t, s ho w now is H al f or Ful l L ow(0 ) is Ha l f-Du pl ex, Hi g h(1 ) is Full -Du ple x P i n2 6 (A N S E L) i s o u tpu t, s h ow A ut o-Neg oti ta ti o n is E na bl e o r Dis a bl L ow(0 ) is Dis ab le, Hig h(1) i s E n ab le

C7 8

1 0P

RE F_OUT Y1 25 MHz_ E R7 34 1M

C7 7

1 0P

RE F_IN
T itle


Size Docum ent Num ber

CO. q CLEVO CL EV O C O .

ICS 1 89 3 L A N P HY & M DC
B
Dat e: M onday, Septem ber 18, 2000

7 1-5 1S 0 0-D02
Sheet 13 of

V CC 3 2 R 5 58 1 9 P ME # 1 0K (R) 3 4 1

R 5 57 U 13 IN IN EN GN D T P S 2 0 32 (R)

0 (12 06 ) 8 7 6 5 Z 1 74

V C C 3_ A U X

B _ V CC_ C

R 5 59 1 2 3 4 1 2 3 4 1 2 3 4 4 3 2 1 RN 5 9

43 K 8 P 4R -4 3 K 8 7 6 5 8 P 4R -4 3 K 8 7 6 5 8 P 4R -4 3 K 8 7 6 5 8 P 4R -4 3 K 5 6 7 8

B_B VD 1# B_B VD 2# B _ RD Y B Y # B _ W A IT # B_W P # B _ RE S E T B _ INP A C K B _ CA 1 5 B _ CA 2 2 B _ CA 1 9 B _ CA 1 4 B _ CA 2 0 B _ CA 2 1 B _ CD 2 # B _VS 1 B _VS 2 B _ CD 1 # C 4 91 .01 U C 5 38 .01 U

B_B VD 1# B_B VD 2# B _ RD Y B Y # B _ W A IT # B_W P # B _ RE S E T B _ INP A C K B _ CA 1 5 B _ CA 2 2 B _ CA 1 9 B _ CA 1 4 B _ CA 2 0 B _ CA 2 1 B _ CD 2 # B _VS 1 B _VS 2 B _ CD 1 #

OU T OU T OU T OC #

PME#
C 5 35 .1 U

C 5 34 .1 U T C 5 07 .1 U

B_VCC _C

V C C3 _ A U X

RN 5 8

C 5 37 .1 U

C 5 39 .01 U

C 5 08 .01 U

C 4 94 .01 U

C 4 93 .01 U

C 5 09 .1 U

C 5 36 .1 U

C 4 97 .1 U

C 4 92 .1 U

C 5 17 4 .7 U

C 4 98 4 .7 U

E N = " H" , V in = V ou t
15 15 15 15 V PPD 0 V PPD 1 V C CD 0 # V C CD 1 # V PPD 0 V PPD 1 V C CD 0 # V C CD 1 # 1 26 90 1 38 1 22 1 02 86 50 30 14 74 73 72 71 44 18 63 V C C3 _ A U X B _ C A [0..25 ] B _ C A [0..25 ] 56 55 54 53 50 49 48 47 46 19 20 14 13 21 10 8 11 12 22 23 24 25 26 27 28 29 41 40 39 38 37 66 65 64 6 5 4 3 2 32 31 30 60 44 45 9 15 33 59 61 16 58 63 62 36 67 7 42 43 57 CN 8 A 25 A 24 A 23 A 22 A 21 A 20 A 19 A 18 A 17 A 16 A 15 A 14 A 13 A 12 A 11 A 10 A9 A8 A7 A6 A5 A4 A3 A2 A1 A0 D 15 D 14 D 13 D 12 D 11 D 10 D9 D8 D7 D6 D5 D4 D3 D2 D1 D0 INP A C K RF U(IO RD) R FU(IOW R) OE W E /P G M W P (IOIS 16 ) W A IT RE G R DY /B S Y /(IR E Q) RE S E T B V D1 (S T S C HG) B V D 2 (S P K R) CD 1 CD 2 CE 1 CE 2 R FS H (V S 1) RFU (V S 2) VC C VC C 17 51

RN 5 6

RN 5 7

U 16

VC C VC C VC C VC C VC C VC C VC C

V PPD 1 V PPD 0

V C CD 1 # V C CD 0 #

V C CC B V C CC B

V CC P V CC P

V CCI

9 ,23 A D[0 ..3 1 ]

A D[0 ..3 1 ] AD 31 AD 30 AD 29 AD 28 AD 27 AD 26 AD 25 AD 24 AD 23 AD 22 AD 21 AD 20 AD 19 AD 18 AD 17 AD 16 AD 15 AD 14 AD 13 AD 12 AD 11 AD 10 AD 9 AD 8 AD 7 AD 6 AD 5 AD 4 AD 3 AD 2 AD 1 AD 0 C/B E # 3 C/B E # 2 C/B E # 1 C/B E # 0 3 4 5 7 8 9 10 11 15 16 17 19 23 24 25 26 38 39 40 41 43 45 46 47 49 51 52 53 54 55 56 57 12 27 37 48 0 66 20 28 29 31 32 33 34 35 36 1 2 21 59 70 Z 1 77 T I_INT A # ZV S E L 0# P C _ RING # S IR Q ZV S E L 1# L ED _SK T C L K RUN # S P K R OU T 13 60 61 64 65 67 68 69 62 AD 31 AD 30 AD 29 AD 28 AD 27 AD 26 AD 25 AD 24 AD 23 AD 22 AD 21 AD 20 AD 19 AD 18 AD 17 AD 16 AD 15 AD 14 AD 13 AD 12 AD 11 AD 10 AD 9 AD 8 AD 7 AD 6 AD 5 AD 4 AD 3 AD 2 AD 1 AD 0 C/B E 3 # C/B E 2 # C/B E 1 # C/B E 0 #

VDD3
5 T 1 9 P ME # Z 1 75 3 1 U 15 IN 1 IN 2 2 OU T 4

V C C 3_ A U X

C 5 15 .1 U

PME#

EN # GN D C 5 16 T P S 2 1 00 (R) .1 U

E N# = "L " , V in1 = V out

A 2 5/C A D 1 9 A 2 4/C A D 1 7 A 2 3/C FR A ME # A 2 2/CT RDY # A 2 1/CD E V S E L# A 2 0/CS T OP # A 1 9/C B L OCK # A 1 8/CR SV D A 1 7/C A D 1 6 A 1 6/CCL K A 1 5/CIRDY # A 1 4/CP ERR # A 1 3/C P A R A 1 2/C C/B E 2 # A 1 1/C A D 1 2 A 1 0/CA D 9 A 9 /C A D 14 A 8 /C C/B E 1# A 7 /C A D 18 A 6 /C A D 20 A 5 /C A D 21 A 4 /C A D 22 A 3 /C A D 23 A 2 /C A D 24 A 1 /C A D 25 A 0 /C A D 26 D 1 5/CA D 8 D 1 4/CR SV D D 1 3/CA D 6 D 1 2/CA D 4 D 1 1/CA D 2 D1 0/C A D 3 1 D 9 /C A D 30 D 8 /C A D 28 D 7 /CA D 7 D 6 /CA D 5 D 5 /CA D 3 D 4 /CA D 1 D 3 /CA D 0 D2 /CR S V D D 1 /C A D 29 D 0 /C A D 27

1 16 1 13 1 11 1 09 1 07 1 05 1 03 1 00 98 1 08 1 10 1 04 1 01 1 12 95 89 97 99 1 15 1 18 1 20 1 21 1 24 1 27 1 28 1 29 87 84 82 80 77 1 44 1 42 1 40 85 83 81 79 76 1 43 1 41 1 39 1 23 93 96 92 1 06 1 36 1 33 1 25 1 32 1 19 1 35 1 34 75 1 37 88 91 1 31 1 17

B _ CA 2 5 B _ CA 2 4 B _ CA 2 3 B _ CA 2 2 B _ CA 2 1 B _ CA 2 0 B _ CA 1 9 B _ CA 1 8 B _ CA 1 7 B _ CA 1 6 B _ CA 1 5 B _ CA 1 4 B _ CA 1 3 B _ CA 1 2 B _ CA 1 1 B _ CA 1 0 B _ CA 9 B _ CA 8 B _ CA 7 B _ CA 6 B _ CA 5 B _ CA 4 B _ CA 3 B _ CA 2 B _ CA 1 B _ CA 0 B _ CD 1 5 B _ CD 1 4 B _ CD 1 3 B _ CD 1 2 B _ CD 1 1 B _ CD 1 0 B _ CD 9 B _ CD 8 B _ CD 7 B _ CD 6 B _ CD 5 B _ CD 4 B _ CD 3 B _ CD 2 B _ CD 1 B _ CD 0

B _ CA 2 5 B _ CA 2 4 B _ CA 2 3 B _ CA 2 2 B _ CA 2 1 B _ CA 2 0 B _ CA 1 9 B _ CA 1 8 B _ CA 1 7 B _ CA 1 6 R 6 14 B _ CA 1 5 B _ CA 1 4 B _ CA 1 3 B _ CA 1 2 B _ CA 1 1 B _ CA 1 0 B _ CA 9 B _ CA 8 B _ CA 7 B _ CA 6 B _ CA 5 B _ CA 4 B _ CA 3 B _ CA 2 B _ CA 1 B _ CA 0 B _ C D[0..15 ]

47

Z 1 76

B _ V CC_ C

PCI1410

9 ,23 C /B E # [0..3]

C /B E # [0..3]

V C C3 _ A U X 8 ,9 ,10 ,1 3,1 6,2 1,2 3 P CIRS T #

R 5 39

0 (R) P CIRS T #

G RS T # R 5 38 FR A ME # IRDY # T RDY # D E V S E L# S T OP # P E RR # S E RR # PA R PR EQ#0 P G NT # 0 P CL K T I P ME #

V C C3 _ A U X 1 2 S U S P E ND # 9 AD 21 9 INT # A S U S P E ND #

R 5 37

10 K

9 ,23 FR A ME # 9 ,23 IRDY # 9 ,23 T RDY # 9 D E V S E L# 9 S T OP # 9 P E RR # 9 S E RR # 9 PA R 9 PR EQ#0 9 P G NT # 0 1 1 P CL K T I 1 9 P ME #

G RS T # P RS T # FR A ME # IRDY # T RDY # D E V S E L# S T OP # P E RR # S E RR # PA R RE Q # GNT # P CL K R I_O UT #/P ME # S U S P E ND # IDS E L MF0/INT A # MF1/ZV S E L 0# MF2/P C _ RING # MF3 /S E R IR Q MF4/ZV S E L 1# MF5/L E D _ S K T MF6/C L K RUN # S P K R OU T

B _ CD 1 5 B _ CD 1 4 B _ CD 1 3 B _ CD 1 2 B _ CD 1 1 B _ CD 1 0 B _ CD 9 B _ CD 8 B _ CD 7 B _ CD 6 B _ CD 5 B _ CD 4 B _ CD 3 B _ CD 2 B _ CD 1 B _ CD 0 B _ INP A C K B _ IORD # B _ IOW R # B _ OE # B_W E # B_W P # B _ W A IT # B _ RE G # B _ RD Y B Y # B _ RE S E T B_B VD 1# B_B VD 2# B _ CD 1 # B _ CD 2 # B _ CE 1 # B _ CE 2 # B _VS 1 B _VS 2

2 0 S P K R OU T R 64 47 K R 5 40 47 K ZV S E L 0# ZV S E L 1# L ED _SK T R 63 47 K ZV S E L 0# ZV S E L 1# L ED _SK T

GN D GN D GN D GN D GN D GN D GN D GN D

6 22 42 58 78 94 1 14 1 30

64
AD21 INT#A
R 5 43 R 5 41 1 00 0 (R) ZV S E L 0# 2 0 P C _ RING # 1 2,1 6,1 7 S IR Q ZV S E L 1# L ED _SK T 9 ,17 C L K RUN # V C C3 _ A U X

C 5 77 .1 U

C 5 66 .1 U

C 5 76 .1 U

C 5 67 .1 U

C 5 68 4 .7 U

B _VP P VPP 1 VPP 2 18 52 C 5 78 .1 U C 5 69 4 .7 U

IN P A C K # /C RE Q # IO RD # /C AD 13 IOW R # /C A D 15 OE # /C A D 11 W E # /C GNT # W P (IOIS 1 6 # )/CC L K RUN # W A IT # /CS E RR # R E G # /C C/B E 3 # RE A D Y (IR E Q # )/CINT # R E S E T /C RS T # B V D1 (S T S CHG # /RI#)/CS T S CH G B V D2 (S P K R # )/C A U DIO CD1 #/C CD 1 # CD2 #/C CD 2 # CE 1 #/C C/B E 0 # CE 2 #/C A D 1 0 V S 1 #/CV S 1 V S 2 #/CV S 2

GN D GN D GN D GN D

1 34 35 68

HR S _ 5 1L _P CM_ R P C I1 41 0


T it le Size Docum ent Num ber

qCLEVO C L E V O C O. CO.

PCMCIA -TI1410
B
Dat e:

71-51S00-D02
Monday, Septem ber 18, 2000 Sheet 14 of

VCC3

C278 U39 CV ID0 CV ID1 CV ID2 CV ID3 CV ID4 11,23 SCL_A T FF 11,23 SDA_A T FF 12 VR_H I/LO# R265 CV ID0 CV ID1 CV ID2 CV ID3 CV ID4 SCL_A T FF SDA_A T FF VR_H I/LO# 1K (R) Z178 4 5 6 7 8 1 2 16 18 10 VC C I0 I1 I2 I3 I4 S CL SD A MUX_S E L WP GN D OV ER RID E # LEV E L N ON_MUX_OU T AS E L FM3560(R) Y0 Y1 Y2 Y3 Y4 20 15 14 13 12 11

.1U +12V C86 VI D0 VI D1 VI D2 VI D3 VI D4 VI D0 VI D1 VI D2 VI D3 VI D4 2,24 2,24 2,24 2,24 2,24 C82 .1U VC C

U14 12V BV C C BV C C BV C C 11 12 13 B_V CC _C C84 .1U C83 4.7U

3 9 17 19

C555 .1U

V CC3_AU X

5 6 3 4

5V 5V 3.3V 3.3V

BV P P

10 C85 .1U 1 2 15 14 8 7 VCC D0# VCC D1# VP P D0 VP P D1 Z628 T

B_V P P

Z179 Z180 Z181 Z182 R260

T T T 1K (R)

4.7U

C81 4.7U

C554 .1U

VCC D0# VCC D1# VP P D0 VP P D1 O C# GN D SHD N# T P S 2211

VCC D0# 14 VCC D1# 14 VP P D0 14 VP P D1 14

VCC 3_AU X

R54

10K Z194

16

VCC3

R N22 8P4R-10K

CV ID0 CV ID2 CV ID1 CV ID3 CV ID4 R198 10K

8 7 6 5

4 3 2 1

CV ID0 CV ID2 CV ID1 CV ID3 CV ID4

R197 1 2 3 4 R N21

0(R)

8 7 6 5
8P4R-0(R)

H15 Hol eC

H6 Hol eE

H1 Hol eE

H4 Hol eD

H8 Hol eD

R N23 8P4R-10K 1 2 3 4 5 6 7 8

U38 3 7 11 17 21 A0 A1 A2 A3 A4 B0 B1 B2 B3 B4 B E# BX C0 C1 C2 C3 C4 D0 D1 D2 D3 D4 VC C A25 2 6 10 16 20 5 9 15 19 23 24 12 Z189 Z190 Z191 Z192 Z193 Z295 C249 GND-1 VI D0 VI D1 VI D2 VI D3 VI D4 VI D0 VI D1 VI D2 VI D3 VI D4 2,24 2,24 2,24 2,24 2,24 2 3 4 5 H30 1 Hol eF 9 8 7 6 2 3 4 5 H2 1 Hol eA 9 8 7 6 2 3 4 5 H28 1 Hol eA 9 8 7 6 2 3 4 5 GND-1 H29 1 Hol eF 9 8 7 6 2 3 4 5 H11 1 Hol eF 9 8 7 6 2 3 4 5 H19 1 Hol eF 9 8 7 6 2 3 4 5 H3 1 Hol eF 9 8 7 6

LOW V OLT AG E CV ID0 CV ID1 CV ID2 CV ID3 CV ID4 CV ID0 CV ID1 CV ID2 CV ID3 CV ID4 1 2 3 4 SW 1 8 ON 7 6 5 R195 R206 0 0 Z183 Z184 Z185 Z186 Z187 Z188
VR_HI/LO# VCC3

H IGH VOLTAGE

4 8 14 18 22 1 13

HC H_DIP SW _4

T T T T T

1 11 01 1 11 00 1 10 11 1 10 10 1 10 01 1 10 00 1 01 11 1 01 10 1 01 01 1 01 00 1 00 11 1 00 11 1 00 01 1 00 00

0.950V 0.975V 1.000V 1.025V 1.050V 1.075V 1.100V 1.125V 1.150V 1.175V 1.200V 1.225V 1.250V 1.275V

0 11 01 0 11 00 0 10 11 0 10 10 0 10 01 0 10 00 0 01 11 0 01 10 0 01 01 0 01 00 0 00 11 0 00 10 0 00 01 0 00 00

1.35V 1.40V 1.45V 1.50V 1.55V 1.60V 1.65V 1.70V 1.75V 1.80V 1.85V 1.90V 1.95V 2.00V

ON ON ON ON ON ON OFF OFF OFF OFF OFF OFF OFF OFF

ON ON OFF OFF OFF OFF ON ON ON ON OFF OFF OFF OFF

OFF OFF ON ON OFF OFF ON ON OFF OFF ON ON OFF OFF

ON OFF ON OFF ON OFF ON OFF ON OFF ON OFF ON OFF

1.90V 1.85V 1.80V 1.75V


Hol eH Hol eH Hol eH Hol eH FD25 C-MA R K 1 FD19 C-MA R K 1 FD24 C-MA R K 1 FD13 C-MA R K 1 FD26 C-MA R K 1 FD1 C-MA R K 1 FD16 C-MA R K 1 FD7 C-MA R K 1 2 3 4 5 H20 1 9 8 7 6 2 3 4 5 H7 1 9 8 7 6 2 3 4 5 H16 1 9 8 7 6 2 3 4 5 H23 1 9 8 7 6

1.70V 1.65V 1.60V 1.55V 1.50V

1.45V 1.40V 1.35V 1.30V NO CPU


Siz e

FD5 C-MA R K 1

FD23 C-MA R K 1
T itle

65
1 11 11 1 11 10

R196 R245

R(0805)

VCC VCC3

0(0805)

12 VR_H I/LO# R259

.01U

10K SN 74CBV T L3 383

2 3 4 5

H27 1

9 8 7 6

2 3 4 5

H13 1

9 8 7 6

2 3 4 5

H14 1 Hol eG

9 8 7 6

2 3 4 5

H21 1

9 8 7 6

2 3 4 5

H22 1

9 8 7 6

2 3 4 5

H18 1 Hol eG

9 8 7 6

2 3 4 5

H26 1

9 8 7 6

High Low
CPU VRM SEL ECT TABL E(Battery Life M ode)

: B0 ... B4-->C0 ... C4 : A0 ... A4-->C0 ... C4

H oleC 315D105N-A

H oleC 315D105N-A

H oleC 315D105N-A

H oleC 315D105N-A

H oleC 315D105N-A

C P U V RM S E LE C T TA B LE (P erform an ce O ptim ize d)


SW1-4 SW1-3 SW1-2 SW1-1 ON ON ON ON ON ON ON OFF V_CORE 2.00V 1.95V
FD22 C-MA R K 1 FD4 C-MA R K 1 FD3 C-MA R K 1 FD11 C-MA R K 1 FD14 C-MA R K 1 FD12 C-MA R K 1 FD21 C-MA R K 1 FD2 C-MA R K 1

V ID[4 :0] VCC_Core


NO CPU 0.925V

V ID[4 :0] VCC_Core 0 11 11 0 11 10


NO CPU 1.30V

2 3 4 5

H17 1 Hol eF

9 8 7 6

2 3 4 5

H12 1 Hol eH

9 8 7 6

2 3 4 5

H5 1 Hol eH

9 8 7 6

2 3 4 5

H10 1 Hol eH

9 8 7 6

FD18 C-MA R K 1

FD9 C-MA R K 1

FD10 C-MA R K 1

FD15 C-MA R K 1

FD8 C-MA R K 1

FD6 C-MA R K 1

FD17 C-MA R K 1

FD20 C-MA R K 1

2 3 4 5

H9 1 Hol eH

9 8 7 6

2 3 4 5

H25 1 Hol eH

9 8 7 6

2 3 4 5

H24 1 Hol eH

9 8 7 6


Document Num ber

q CLEVO CCO. L E V O C O.

P C M CIA P O W E R S W ITC H
B
Date:

7 1-51S 00 -D0 2
Monday, Sept em ber 18, 2000 Sheet 15 of

1 1 83 626 CLK

83 626 CLK C2 6 R U6 S A [0 ..19] SA 0 SA 1 SA 2 SA 3 SA 4 SA 5 SA 6 SA 7 SA 8 SA 9 S A1 0 S A1 1 S A1 2 S A1 3 S A1 4 S A1 5 S A1 6 S A1 7 S A1 8 S A1 9 SD 0 SD 1 SD 2 SD 3 SD 4 SD 5 SD 6 SD 7 SD 8 SD 9 S D1 0 S D1 1 S D1 2 S D1 3 S D1 4 S D1 5 IOR # IOW # A EN R S T D RV D AC K# 2 TC D RQ 2 IRQ 3 IRQ 4 IRQ 6 IRQ 7 IO C HR DY IR Q1 0 D AC K# 1 D RQ 3 D AC K# 3 IR Q1 1 IRQ 5 D RQ 1 IR Q1 2 IRQ 9 IR Q1 4 IR Q1 5 IO C S1 6 # D RQ 0 D RQ 5 D RQ 6 SY S CL K MEMC S16 # W 8 362 6 31 32 33 34 35 41 42 43 44 46 47 48 49 51 52 53 54 56 57 58 67 68 69 71 72 73 74 75 11 4 11 5 11 6 11 7 11 8 11 9 12 1 12 2 86 84 59 77 99 10 0 79 98 97 94 93 61 10 89 88 87 9 96 90 8 78 6 7 11 3 1 12 7 92 12
SA0 SA1 SA2 SA3 SA4 SA5 SA6 SA7 SA8 SA9 SA10

V CC

V CC

S A [0 ..19]

17 ,1 9,2 0

RP 5 1 2 3 4 5 1 2 3 4 5 10 9 8 7 6 10 9 8 7 6 SD 6 SD 7 SD 4 SD 5 SD 0 SD 1 SD 2 SD 3 SD 6 SD 7 SD 4 SD 5 SD 0 SD 1 SD 2 SD 3 7,17 ,1 9,2 0 7,17 ,1 9,2 0 7,17 ,1 9,2 0 7,17 ,1 9,2 0 7,17 ,1 9,2 0 7,17 ,1 9,2 0 7,17 ,1 9,2 0 7,17 ,1 9,2 0 1 2 3 4 5

RP 7 1 2 3 4 5 10 9 8 7 6 10 9 8 7 6 SD 8 SD 9 S D1 0 S D1 1 S D1 2 S D1 3 S D1 4 S D1 5 SD 8 SD 9 S D1 0 S D1 1 S D1 2 S D1 3 S D1 4 S D1 5

1 2 LFR A ME # 8,9,10,13 ,1 4 ,2 1,2 3 P CIR ST # 1 1 83 626 CLK 1 2 LD R EQ # 12 ,1 4,1 7 SIRQ 1 2 L AD 0 1 2 L AD 1 1 2 L AD 2 1 2 L AD 3 V CC C2 4 10U C6 5 .1 U C4 5 .1 U C4 6 .1 U

LF RAM E# PCI RST #

83 626 CLK
LDREQ #

SIRQ
LAD0 LAD1 LAD2 LAD3

13 14 21 22 23 19 18 17 16 5 45 55 70 85 10 5 12 0 20 25 15 50 60 80 95 11 0 12 5

L FR A M# P CIR ST # PC ICL K LD RQ # S E RIRQ L AD 0 L AD 1 L AD 2 L AD 3 V CC V CC V CC V CC V CC V CC V CC V CC 3 A V CC 3 G ND G ND G ND G ND G ND G ND G ND AG ND P W R DN # 14 .31 8 14MO UT 1 14MO UT 2 24 M& 25M RT CC S # /G P IO 6 IOHC S# /G P IO 7 G P IO 5/IR Q8 # GP IO4 /IRQIN PL ED /GP IO3 MCC S# /G P IO 2 K BC S# /G P IO 1 IR Q1 /GP IO0 RO MCS # R EFR E SH # B ALE S B HE # ME MR # ME MW # MA S T E R#/R T C EN LA 1 7 LA 1 8 LA 1 9 LA 2 0 LA 2 1 LA 2 2 LA 2 3 D AC K# 0 D AC K# 5 D AC K #6/HE FR A S D AC K7 # IOC H CK # OW S # S ME MW # SME MR # 8 0 P CS #/KB EN D RQ 7

10P 8R -8 .2K

10P 8R -8 .2K

V CC 3 C2 5 4 .7U

R6 6 8 C6 5 8 .1 U

0 (0 8 05) C2 7 .1 U

Z19 5

S A1 1 S A1 2 S A1 3 S A1 4 S A1 5 S A1 6 S A1 7 S A1 8 S A1 9
SD0 SD1 SD2 SD3 SD4 SD5 SD6 SD7 SD8 SD9 SD10 SD11 SD12 SD13 SD14 SD15

V CC

V CC

RP 9 S D [0 ..15] S D [0 ..15] 7,17 ,1 9,2 0 1 2 3 4 5 1 2 3 4 5 10 9 8 7 6 10 9 8 7 6 SA 2 SA 3 SA 0 SA 1 SA 5 SA 4 SA 7 SA 6 SA 2 SA 3 SA 0 SA 1 SA 5 SA 4 SA 7 SA 6 17 ,1 9,2 0 1 7 ,2 0 1 7 ,2 0 1 7 ,2 0 1 7 ,2 0 1 7 ,2 0 1 7 ,2 0 1 7 ,2 0 1 2 3 4 5

R P1 0 1 2 3 4 5 10 9 8 7 6 10 9 8 7 6 S A1 0 S A1 1 SA 8 SA 9 S A1 2 S A1 3 S A1 4 S A1 5 S A1 0 S A1 1 SA 8 SA 9 S A1 2 S A1 3 S A1 4 S A1 5 1 1 17 17 1 1 1 1

1 0 P 8 R-10 K(R)

1 0 P 8 R-10 K(R)

V CC 3 V CC 3 1 1 83 626C LK -1 4 1 7 86 9_O SC 8 921 1_O S C 2 1 AU D CL K

R6 6 4 R6 7 4

4 .7K 4 .7K

0 (0 8 05) R6 6 6 Z19 6 Z68 0

30 24 26 27 28 29 65 66 64 63 62 40 39 38 37 91 10 1 10 2 11 2 11 3 12 3 11 1 10 9 10 8 10 7 10 6 10 4 10 3 4 2 12 8 12 6 76 81 82 83 36 12 4

83626CLK-14 869_OS C R6 6 9 921 1_O S C R6 6 5 AUDCLK R2 3

22 22 22 2 0 FLA SH # 7 P CS 1 2 3 S EL EC T A 2 3 S EL EC T B 2 2 FA N _ S T P 1 9 MC CS # 1 9 KB D CS #
19 IRQ 1

14M OUT 1

V CC

V CC

14MO UT 2 24 MH Z
FLA SH# PCS1 SE LECT A SE LECT B FA N_ST P MCCS# KBDCS# IRQ 1 BI OS CS# RE FRE SH# BALE SB HE# M EM R# M EMW# MA ST ER# LA17 LA18 LA19 LA20 LA21 LA22 LA23

RP 4 IORD # 1 7 ,1 9 IO W R # 7 ,1 7 ,1 9 A EN 1 7 R S T D RV 1 7 D AC K# 2 1 7 TC 17
DREQ 2 17

R P1 2 10 9 8 7 6 10 9 8 7 6 IRQ 6 IRQ 5 IRQ 4 IRQ 3 IR Q1 0 IRQ 1 IRQ 9 IRQ 7 IRQ 6 IRQ 5 IRQ 4 IRQ 3 IR Q1 0 IRQ 1 1 9 IRQ 9 IRQ 7 1 2 3 4 5 1 2 3 4 5 10 9 8 7 6 10 9 8 7 6 IR Q1 4 IR Q1 5 IR Q1 2 IR Q1 1 S A1 6 S A1 7 S A1 8 S A1 9 IR Q1 4 IR Q1 5 IR Q1 2 IR Q1 1 S A1 6 2 S A1 7 2 S A1 8 2 S A1 9

V CC

R6 6 7

4 .7K

2 0 B IO S CS # R EFR E SH # B ALE S B HE # 2 0 ME MR # 2 0 ME MW # MAS T ER # LA 1 7 LA 1 8 LA 1 9 LA 2 0 LA 2 1 LA 2 2 LA 2 3 1 7 D AC K# 0 D AC K# 5 D AC K# 6 D AC K# 7 IOC H CK # OW S # S ME MW # SME MR # 8 0 P CS # D REQ7

IORD # IO W R # A EN R S T D RV D AC K# 2 TC D REQ2
IRQ 3 IRQ 4 IRQ 6 IRQ 7

1 2 3 4 5

1 2 3 4 5

1 0 P8 R-10K

1 0 P8 R-10K

IO C HR DY
IRQ10

D AC K# 1 D REQ3 D AC K# 3
IRQ11 IRQ 5

D REQ1
IRQ12 IRQ 9 IRQ14 IRQ15 I O CS16#

D AC K# 0 D AC K# 5 D AC K# 6 D AC K# 7
IO CHCK# OWS# SM EMW# SM EM R# 80PCS# DREQ 7

IRQ 3 IRQ 4 IRQ 6 IRQ 7 IO C HR DY 1 7 IR Q1 0 D AC K# 1 1 7 D REQ3 1 7 D AC K# 3 1 7 IR Q1 1 IRQ 5 D REQ1 1 7 IR Q1 2 1 9 IRQ 9 IR Q1 4 IR Q1 5 IO C S1 6 # D REQ0 1 7 D REQ5 D REQ6 MEMC S16 #

66

V CC

RP 6 1 2 3 4 5 1 2 3 4 5 10 9 8 7 6 10 9 8 7 6 V CC LA 2 0 LA 1 9 LA 1 8 LA 1 7 Z19 8 LA 2 1 LA 2 2 LA 2 3 LA 2 0 LA 1 9 LA 1 8 LA 1 7 T LA 2 1 LA 2 2 LA 2 3 1 2 3 4 1 2 3 4 R N6 9 8 7 6 5 R EFR E SH # IO C HR DY MEMC S16 # MAS T ER # R EFR E S IO C HR D MEMC S1 MAS T ER

D REQ0 D REQ5 D REQ6 Z19 7 MEMC S16 #

1 0 P8 R-10K

8P 4R -1 K R N6 8 8 7 6 5 OW S # S ME MW # SME MR # IO C S1 6 # OW S # S ME MW SME MR # IO C S1 6 #

V CC

8P 4R -1 K RP 8 1 2 3 4 5 1 2 3 4 5 10 9 8 7 6 10 9 8 7 6 D AC K# 2 D AC K# 7 D AC K# 6 D AC K# 5 Z19 9 D AC K# 0 D AC K# 3 D AC K# 1 D AC K# 2 1 7 D AC K# 7 D AC K# 6 D AC K# 5 T D AC K# 0 1 7 D AC K# 3 1 7 D AC K# 1 1 7 5 6 7 8 R N6 6 4 3 2 1
80PCS#

B ALE S B HE # IOC H CK #

8 0 P CS # B ALE S B HE # IOC H CK

8P 4R -4 .7 K 4 3 2 1 R N6 7 5 6 7 8 ME MW # ME MR # IORD # IO W R #

1 0 P 8 R-10 K(R)

ME MW # ME MR # IORD # IO W R #

8P 4R -8 .2 K R P1 1 1 10 2 9 3 8 4 7 5 6

1 2 3 4 5

10 9 8 7 6

D REQ6 D REQ7 D REQ0 D REQ5 Z20 0 D REQ2 D REQ3 D REQ1

D REQ6 D REQ7 D REQ0 1 7 D REQ5 T D REQ2 1 7 D REQ3 1 7 D REQ1 1 7


T it le

10P 8R -8 .2K


Size Docum ent Num ber

CLEVO q C LEVO C O. CO.

LPC TO ISA - W38626


C us tom
Date:

71-51S00- D02
Sheet 16 of

Monday, Sept em ber 18, 2000

FIRVCC L85 VCC3 BK2125HS330 4 3 2 1 4 3 2 1

F IR

RN71 8P4R-18 R2 4.7K R5 5 6 7 8 47K 5 6 7 8

RN70 8P4R-18

Z2 03 10 LEAD

IRRX2 IRR3 IRTX2

IRRX2 IRR3 IRTX2

8 3 9 11 R6 4.7K JA1

U1 RXD FIR_SEL T XD GNDPAD GND NC AGNDD

MDO MD1

4 5

Z2 01 Z2 02

R3 R4

2.2K 2.2K FIRGND

VCC HSDL -36 00 C5 .1 U .0 47 U 10U FIRVCC 18 18 18 18 18 18 18 18 PSTB# 18 PAT FD# 18 PINIT # 18 PSLIN# 18 1

VCC3

2
Z667

SHORT -A

FIRGND T C660 C575 4.7U C80 .1 U C608 .1 U C609 .01U

7,16,19,20

SD[0..7 ]

SD[0..7 ] SD0 SD1 SD2 SD3 SD4 SD5 SD6 SD7 SA0 SA1 SA2 SA3 SA4 SA5 SA6 SA7 SA8 SA9 SA10 SA11 SA12 SA13 SA14 SA15 46 47 48 49 51 52 53 54 26 27 28 29 30 31 32 39 40 41 95 35 36 1 3 25 42 43 44 55 33 98 96 20 34 94 22 19 50 97 17 92 37 18 38 Z2 04 56 21 23 24

U46 D0 D1 D2 D3 D4 D5 D6 D7 A0 A1 A2 A3 A4 A5 A6 A7 A8 A9 A10 A11 A12 A13 A14 A15 IOR# IOW # AEN RESET TC IOCHRDY IRQIN DACK_A# DACK_B# DACK_C# DACK_D# DRQ_ A DRQ_ B DRQ_ C DRQ_ D ADRX# /CLKRUN# SIRQ CLK14 CLK33 PWRGD/GAMECS# IRMODE/IRR3 IRRX2 IRTX2

13 70

16 ,19,20

SA[0..1 5]

SA[0..1 5]

PD0 PD1 PD2 PD3 PD4 PD5 PD6 PD7 ST ROBE# SLCT IN# INIT # AUT OFD# ERROR# ACK# BUSY PE SLCT CT S1# DSR1# DCD1# RI1 RXD1 T XD1 RT S1# DT R1# CT S2# DSR2# DCD2# RI2 RXD2 /IRRX TXD2 /IRT X RT S2# DT R2# RDATA# WDATA# DSKCHG# WGATE# DIR# ST EP# HDSEL# T RK0# WRT PRT # INDEX# MT R0# DS0# DRVDEN0 DRVDEN1 37N869

69 68 67 66 64 63 62 61 75 71 72 74 73 60 59 58 57 80 78 83 82 76 77 79 81 90 88 85 84 86 87 89 91 14 7 15 8 5 6 9 11 12 10 100 2 99 16

PPD0 PPD1 PPD2 PPD3 PPD4 PPD5 PPD6 PPD7 ST ROBE# SLCT IN# PPINIT # AUT OFD# PPERR# PACK# PBUSY PPE PSLCT CT S1# DSR1# DCD1# RI1# SIN1 SOUT 1 RT S1# DT R1# CT S2# DSR2# DCD2# RI2# SIN2 Z2 05 RT S2# Z2 06 RDATA# WDATA# DSKCHG# WGATE# DIR# ST EP# HDSEL# T RK0# WP# INDEX# MT R0# DRV0# 3MODE# Z2 07 PPERR# 18 PACK# 18 PBUSY 18 PPE 18 PSLCT 18 CT S1# 18 DSR1# 18 DCD1# 18 RI1# 18 SIN1 18 SOUT 1 18 RT S1# 18 DT R1# 18 CT S2# DSR2# DCD2# RI2# SIN2 T T RDATA# 10 WDATA# 10 DSKCHG# 10 WGATE# 10 DIR# 10 ST EP# 10 HDSEL# 10 T RK0# 10 WP# 10 INDEX# 10 MT R0# 10 DRV0# 10 3MODE# T 10

5 6 7 8 1 2 3 4

RN3

8P4R-33 4 3 2 1 8 7 6 5 8P4R-33 5 6 7 8 RN5

PD0 PD1 PD2 PD3 PD4 PD5 PD6 PD7 4 3 2 1 8P4R-33

VCC VCC

RN2 ST ROBE# AUT OFD# PPINIT # SLCT IN#

PSTB# PAT FD# PINIT # PSLIN#

2 C659 PD0 PD1 PD2 PD3 PD4 PD5 PD6 PD7

4 45 65 93

GND GND GND GND

67
16,19 7,16,19 IORD# IOW R# C694 33P 16 869_OSC

VCC3 VCC3 CT S2# DSR2# SIN2 DCD2# RI2# 5 6 7 8 R609 RN61 4 3 2 1

C695 C

16 16

16 AEN RSTDRV 16 TC IOCHRDY DACK#0 DACK#1 DACK#2 DACK#3 DREQ0 DREQ1 DREQ2 DREQ3

IORD# IOW R# AEN RSTDRV TC IOCHRDY IRQIN DACK#0 DACK#1 DACK#2 DACK#3 DREQ0 DREQ1 DREQ2 DREQ3 CLKRUN# SIRQ 869_OSC 869_ PCLK 10K IRR3 IRRX2 IRTX2

R610 R

CT S2# DSR2# SIN2 DCD2# RI2#

R613 1K

16 16 16 16 16 16 16 16

8P4 R-10K 10K

R611 820

VCC3 R619 R621 1K 1K C597 C WDATA# WGATE# C587 C

WDATA# WGATE#

9,14 CLKRUN# 12 ,14,16 SIRQ C610 R 11 869_ PCLK VCC3 R53 IRR3 IRRX2 IRTX2


T itle Size Document Number

CO. qCLEVO C LEVO C O.

SUPE R I/O - 37N8 69


B
Date: Monday, September 18, 2000

71 -51 S00-D02
Sheet 17 of

V CC C58 1 .1U U4 7
Z212

28 24 1 2 14 13 12 20 19 18 17 16 15 23

V CC

26

C59 1 .1 U_ K %
Z213 Z214

C1 + C1C2 + C2T 1IN T 2IN T 3IN R2 OU T B R 1O UT R 2O UT R 3O UT R 4O UT R 5O UT F OR CE ON /F OR CE OF F

V+ V-

27 3

Z217 Z216

C58 3 .1 U_ K %

C58 2 .1 U_ K % C N2 3 12

C59 2 .1 U_ K % S O UT 1 RT S 1 # DT R1 # COM1RI DS R1 # R I1 # CT S 1 # S IN 1 DC D1 #
Z215

1 7 S O UT 1 1 7 RT S 1 # 1 7 DT R1 # 2 0 COM1RI 1 7 DS R1 # 1 7 R I1 # 1 7 CT S 1 # 1 7 S IN 1 1 7 DC D1 #

T 1O UT T 2O UT T 3O UT R 1IN R 2IN R 3IN R 4IN R 5IN

9 10 11 4 5 6 7 8

Z2 0 9 Z2 1 0 Z2 1 1
Z218 Z219 Z220 Z221 Z222

4 3 2 1

RN 4

5 6 7 8

R IA DT RA CT S A S OU T A

8P 4R -3 3 4 3 2 1 RN 1 5 6 7 8 RT S A S INA DS RA DC DA C61 1 C59 9 C59 8 C59 0 C58 9 C58 8 C58 0 C57 9 22 0P 22 0P 22 0P 22 0P 22 0P 22 0P 22 0P 22 0P

5 9 4 8 3 7 2 6 1 13 P A N_ CO M_ DB 9 C N 23:14-29 P IN ->G ND

V CC

R61 5

10 0K T

Z224 Z223

22 21

G ND /INV A L ID MAX 32 4 3

25

8P 4R -3 3

17 17 17 17 17 17 17 17

PD0 PD1 PD2 PD3 PD4 PD5 PD6 PD7

PD0 PD1 PD2 PD3 PD4 PD5 PD6 PD7

L6 2 L6 5 L6 7 L6 9 L2 0 L1 9 L1 8 L1 7

0(N1 60 8Z 3 01) 0(N1 60 8Z 3 01) 0(N1 60 8Z 3 01) 0(N1 60 8Z 3 01) 0(N1 60 8Z 3 01) 0(N1 60 8Z 3 01) 0(N1 60 8Z 3 01) 0(N1 60 8Z 3 01)

A C

68

C N2 1 29
Z226 Z227 Z228 Z229 Z230 Z231 Z232 Z233 Z234 Z235 Z236

C49 6 C49 9 C50 0 C51 0 C45 9 C46 4 C46 6 C46 9 22 0P 22 0P 22 0P 22 0P 22 0P 22 0P 22 0P 22 0P

C45 5 C45 8 C46 0 C46 5 C51 1 C51 2 C51 8 C51 9


Z237

22 0P 22 0P 22 0P 22 0P 22 0P 22 0P 22 0P 22 0P
Z238

1 7 P A CK # 1 7 P BU S Y 1 7 P PE 1 7 P S LCT 1 7 P S TB # 1 7 P AT FD # 1 7 P P E RR # 1 7 P IN IT # 1 7 P SL IN #

P A CK # P BU S Y P PE P S LCT P S TB # P AT FD # P P E RR # P IN IT # P SL IN #

L1 6 L1 5 L1 4 L1 3 L6 0 L6 1 L6 3 L6 6 L6 8

0(N1 60 8Z 3 01) 0(N1 60 8Z 3 01) 0(N1 60 8Z 3 01) 0(N1 60 8Z 3 01) 0(N1 60 8Z 3 01) 0(N1 60 8Z 3 01) 0(N1 60 8Z 3 01) 0(N1 60 8Z 3 01) 0(N1 60 8Z 3 01)

Z239 Z240 Z241 Z242

1 14 2 15 3 16 4 17 5 18 6 19 7 20 8 21 9 22 10 23 11 24 12 25 13 28

V CC D1 1S S 35 5
Z225

1 7 PD3 1 7 P SL IN # 1 7 P S TB # 1 7 P AT FD #

PD3 P SL IN # P S TB # P AT FD #

1 2 3 4 5

RP 2 1 10 2 9 3 8 4 7 5 6 10 P 8 R -2K

10 9 8 7 6

PD2 P IN IT # PD0 PD1

PD2 1 7 P IN IT # 1 7 PD0 1 7 PD1 1 7

R6 6 RP 1 1 10 2 9 3 8 4 7 5 6

2K

P P E RR #

P P E RR # 1 7

1 7 P BU S Y 1 7 P A CK # 1 7 P PE 1 7 P S LCT

P BU S Y P A CK # P PE P S LCT

1 2 3 4 5

10 9 8 7 6

PD4 PD5 PD6 PD7

PD4 PD5 PD6 PD7

17 17 17 17

10 P 8 R -2K C46 8 18 0P P A N_ L P T _D B 2 5 C N 21 PIN 2 8 -4 5 ->G ND


T it le Size Docum ent Number

q CO. C LEVO C O. CLEVO

COM & PRINT PORT


B
Date:

71-51S00-D02
Monday, Sept em ber 18, 2000 S heet 18 of

L4 6 V CC C N1 4 1 C OL 8 1 2 C OL 7 2 3 C OL 6 3 4 C OL 5 4 5 C OL 4 5 6 C OL 3 6 7 C OL 2 7 8 C OL 1 8 9 R OW 1 9 10 R OW 2 10 11 R OW 4 -1 11 12 R OW 4 -2 12 13 R OW 6 13 14 R OW 4 -3 14 15 R OW 7 15 16 R OW 5 16 17 R OW 9 17 18 R OW 1 0 18 19 R OW 1 1 19 20 R OW 8 20 21 R OW 1 2 21 22 R OW 3 22 23 R OW 1 6 23 24 R OW 1 3 24 25 R OW 1 4 25 26 R OW 1 5 26 A CE S _ U _K /B _FC C2 6 C OL 8 C OL 7 C OL 6 C OL 5 C OL 4 C OL 3 C OL 2 C OL 1 R OW 1 R OW 2 R OW 6 R OW 7 R OW 5 R OW 9 R OW 1 0 R OW 1 1 R OW 8 R OW 1 2 R OW 3 R OW 1 6 R OW 1 3 R OW 1 4 R OW 1 5 C3 1 7 B K2 125 HS 3 30 _0 80 5
Z549 Z243 Z244 Z245 Z246

.1U

D4 4

E MCLK EMDA C R OW 4 R OW 4 EK DA E K CLK

E MCLK EMDA EK DA E K CLK

L4 5 L4 7 L4 9 L5 0

BK 160 8H S24 1 BK 160 8H S24 1 BK 160 8H S24 1 BK 160 8H S24 1

4 6 2 1 5 3

C N1 5

9 7 8

1S S35 5 D4 3 A C 1S S35 5 D4 1 1S S35 5 V DD 3 V DD 3 R3 1 2 S R3 1 1 0 (1 2 06)(R) K BV 3 C1 7 1 C3 1 1 .1U C3 1 2 .01U R OW 1 6 R OW 1 5 R OW 1 4 R OW 1 3 R OW 1 2 R OW 1 1 R OW 1 0 R OW 9 R OW 8 R OW 7 R OW 6 R OW 5 R OW 4 R OW 3 R OW 2 R OW 1 C OL 8 C OL 7 C OL 6 C OL 5 C OL 4 C OL 3 C OL 2 C OL 1 K BV 3 K BV 3 R OW 1 6 R OW 1 5 R OW 1 4 R OW 1 3 R OW 1 2 R OW 1 1 R OW 1 0 R OW 9 R OW 8 R OW 7 R OW 6 R OW 5 R OW 4 R OW 3 R OW 2 R OW 1 C OL 8 C OL 7 C OL 6 C OL 5 C OL 4 C OL 3 C OL 2 C OL 1 R3 1 3 100 K A LE RT # P ME # D IS B L W EB SW # 100 K R ING# 100 K E MA IL SW # AMP _D OW N 100 K U S ERSW #
Z255

PA N _K /B _T 6 C3 1 8 C3 1 6 C3 0 6 C3 0 5 68P 68P 68P 68P

C N15 P IN 10-18 ->GND 0 PW R_ ON # K B_ ON # PW R_ ON # K B_ ON # 12 22 U4 1 71 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 74 75 76 77 78 79 80 1 72 10 11 19 21 20 35 36 37 38 12 13 25 24 30 73 C3 2 3 V CC P1 7 P1 6 P1 5 P1 4 P1 3 P1 2 P1 1 P1 0 PO 7 PO 6 PO 5 PO 4 PO 3 PO 2 PO 1 PO 0 P3 7 P3 6 P3 5 P3 4 P3 3 P3 2 P3 1 P3 0 P6 7 P6 6 P6 5 P6 4 P6 3 P6 2 P6 1 P6 0 V RE F P5 7 P5 6 P4 6 P4 4 P4 5 P2 3 P2 2 P2 1 P2 0 P5 5 P5 4 RE S ET # X IN C NV SS V SS AV S S M388 6 7M8 C2 8 0 10U XO UT P2 7 P2 6 P2 5 P2 4 31 32 33 34 SC R OLL # N UM# C A PS # S PD IFON SC R OLL # 2 0 N UM# 2 0 C A PS # 2 0 S PD IFON 2 1 4.7U V CC V CC 1 2 3 4 8 P 4 R-1 0K R N2 0 8 7 6 5 C1 9 2 C1 9 3 C1 9 1 C1 9 0 C C C C E K CLK EK DA E MCLK EMDA E K CLK EK DA E MCLK EMDA

Q2 3

N D S 35 2

K BV 3

4.7U

RP 3 C OL 5 C OL 6 C OL 7 C OL 8 C OL 5 C OL 6 C OL 7 C OL 8 1 2 3 4 5 1 2 3 4 5 10 9 8 7 6 10 9 8 7 6 C OL 4 C OL 3 C OL 2 C OL 1 C OL 4 C OL 3 C OL 2 C OL 1

10 P8 R-10K

DQ 7 DQ 6 DQ 5 DQ 4 DQ 3 DQ 2 DQ 1 DQ 0 P 53/W R # P5 2/RD # P5 1/CS # P 50/A 0

63 64 65 66 67 68 69 70 14 15 16 17

SD 7 SD 6 SD 5 SD 4 SD 3 SD 2 SD 1 SD 0 IO W R # IORD #
Z299

SD 7 SD 6 SD 5 SD 4 SD 3 SD 2 SD 1 SD 0

7,16 ,1 7 ,2 0 7,16 ,1 7 ,2 0 7,16 ,1 7 ,2 0 7,16 ,1 7 ,2 0 7,16 ,1 7 ,2 0 7,16 ,1 7 ,2 0 7,16 ,1 7 ,2 0 7,16 ,1 7 ,2 0 R2 8 3

R1 4 9 R1 5 0 V DD 3 R2 6 6

10K 10K 8.2K C2 7 9

IMCLK IMDA T A E XT SMI#

IMCLK IMDA T E XT S

10K

K BV 3 KB D CS # 1 6

2 8 S MA LE RT A D4 6

S MA LE RT G Q5 7 2N 700 2 S 10K

IO W R # 7 ,1 6 ,1 7 IORD # 1 6 ,1 7 SA 2 16 ,1 7,2 0

D Q5 0 2N 700 2

S KB D CS # G V CC

SA 2

K BV 3

C Z397 D R3 1 5

F0 1J 2E V CC

P 42/IRQ 1 P4 3/IR Q1 2

23 22

IRQ 1 IR Q1 2

IRQ 1 1 6 IR Q1 2 1 6 R1 6 1 10K K BV 3 MC CS # 16

1 2 ,2 2,2 3,2 5 ,2 8,2 9 C N1 3 1 2 3 4 5 6 Z256 7 Z257 8 A C E S _ U _T P _ FFC 8 1 2 3 4 5 6 7 8 IMDA T A IMCLK C3 4 1 T T SW R 33P C3 4 2 33P IMDA T A IMCLK

P W R _ ON

C2 3 4 22P

C2 3 5 Y 5:3-4 P IN->GND 22P S MB DA S MB C L S MB DA S MB C L R2 9 4 R3 0 4 0 0 B A T _ DA T A B A T _ CLK C1 8 2 C1 8 9 22P 22P B AT_ B AT_

1S S3 55(R)

S2 1 3 2 4 H CH _51 _T P _B U T T ON S1 1 3 2 4 H CH _51 _T P _B U T T ON

MBID 0 MBID 1

MBID 0 MBID 1 R1 7 0 R1 8 4 10K 10K R2 6 1 R2 5 4

V CC 3 10 K(R) 10 K(R)
T it le

69
R3 1 6 1K (0 805) VO LT AG E :2.5V D4 5 R AS 243 1 A1 2 2 P W RSW # 2 0 ,2 8 BA T FUL L P W R _ ON K BV 3 C3 1 3 .1U 1 2 ,2 2,2 3,2 5 ,2 8,2 9 V CC C3 5 6 .1U C3 5 7 4.7U SW L

1 4 P ME # 2 0 D IS B L 2 0 R ING# 2 1 AMP _D OW N K BV 3 8 U S ERSW # R2 7 0 R2 6 8 R1 7 2 R2 6 9 C D1 9 A D3 3 100 K 100 K 100 K 0(R) A F0 1J 2E C F0 1J 2 E (R) K BV 3 R2 9 3 R2 6 2 Q C

R3 1 4 R1 4 7 R3 0 5

P 47/C S (A CP I) P 76 /S DA P7 7/SC L P 74 /E MCL K P7 1/EMDA P 75 /E K CL K P7 2/EK DA P 73 /IMCLK P7 0/IMDA

18 3 2 5 8 4 7 6 9

Z250

D Q2 6 2N 700 2

S MC CS # G

S MB DA S MB C L E MCLK EMDA E K CLK EK DA IMCLK IMDA T A

S MB DA S MB C L E MCLK EMDA E K CLK EK DA IMCLK IMDA T A

V CC

P W RSW # BA T FUL L P W R _ ON

7 B RIGA D J 2 2 FA N_ PW M 1 2 S CI MBID 0 MBID 1

B RIGA D J FA N_ PW M S CI MBID 0 MBID 1


Z254 Z253

E XT SMI# D Q2 7 S 2N 700 2

Z62 7 2 0 BE E P_ EN # 2 2 K B _ S US # 100 K 100 K D3 1 A C2 9 7 1S S3 55(R) D3 2 A P W R _ ON C .1U BE E P_ EN # K B _ S US # K B RE S ET #

E XT SMI#

12

P4 0 P4 1

27 26 28 29

ES MI W A KE # R1 7 1
Z251

G W A KE # 1 2 100 K K BV 3 Y5 R2 6 7 10K 8 MH Z_C

22

Z252


Size Docum ent Num ber

q CLEVO CCO. L EVO C O .

K/B CONTROLLER-M38867
B
Date:

71-51S00-D02
M onday, Septem ber 18, 2000 Sheet 19 of 2

D 25 10 HD _L E D HD _L E D R2 18 33 0(0 80 5)
Z280

S ML _0 10 MT _ G D 24 VC C SA 0 SA 1 SA 2 SA 3 SA 4 SA 5 SA 6 SA 7 SA 8 SA 9 S A 10 S A 11 S A 12 S A 13 S A 14 S A 15 S A 16 B IOS CS # ME MR #
Z259

S A [0..16 ] 12 11 10 9 8 7 6 5 27 26 23 25 4 28 29 3 2 22 24 1 31 U 10 A0 A1 A2 A3 A4 A5 A6 A7 A8 A9 A 10 A 11 A 12 A 13 A 14 A 15 A 16 CE OE VP P PGM O0 O1 O2 O3 O4 O5 O6 O7 13 14 15 17 18 19 20 21 SD 0 SD 1 SD 2 SD 3 SD 4 SD 5 SD 6 SD 7

12 S US _L E D S A [0..16 ] SD 0 SD 1 SD 2 SD 3 SD 4 SD 5 SD 6 SD 7 16 ,17 ,19

S US _L E D

R2 16

22 0(0 80 5)

Z281

S ML _0 10 MT _ G D 26 19 N UM# N UM# R2 19 22 0(0 80 5)


Z282

D 67 F0 1J 2 E C

C6 29 .1 U

7,1 6,1 7,1 9 7,1 6,1 7,1 9 7,1 6,1 7,1 9 7,1 6,1 7,1 9 7,1 6,1 7,1 9 7,1 6,1 7,1 9 7,1 6,1 7,1 9 7,1 6,1 7,1 9

A S ML _0 10 MT _ G D 27

V CC 3

19 CA P S #

CA P S #

R2 21

22 0(0 80 5)

Z283

A S ML _0 10 MT _ G D 28

V CC 3

19 S CR OL L # VC C VC C A 17 GN D 29 F0 2 0 32 30 16 S A 17 C6 42 .1 U 22 B A T O N BA T ON S A 17 16 19 ,28 B A T FU L L B A T FU L L B A T CH A

S CR OL L #

R2 23

22 0(0 80 5)

Z284

A S ML _0 10 MT _ G

V CC 3

VC C FL A S H # ME MW # 1 2 3 U 48 IN IN GN D OU T T C 7S H 3 2F U 4
Z258 C

16 FL A S H # 16 ME MW #

VC C

R1 51 R1 52

33 0(0 80 5) 33 0(0 80 5)

Z285 Z286

D 14 G C R LE D(CS L -F3 00 )

R6 47 D 68

10 K 16 B IOS CS # 16 ME MR # A

28 B A T CH A

W BIOS # R6 43

C 73 .1 U

1S S3 5 5 R S A 18 S A 18 16

R2 90 V CC 3 E C Z277 Q49 2N3 9 06 A

33 0(0 80 5) D 37 C

Z287

D 13 G C Z2 9 6 R R2 91 LE D(CS L -F3 00 )

1S S3 5 5 D 34

12 ,22 V CC 3 R7 14 Z7 1 2 A 22 0(0 80 5) 1,1 2 S T P CLK # D 79 C Z7 3 7 D Q84 S 2N7 0 02

A C IN #

A C IN # R1 65 V CC 3

4.7 K

Z279

22 0(0 80 5) E
Z278 A

Z276

S ML _0 10 MT _ G G

Q48 2N3 9 04 B A T _B EE P B A T _B EE P B

1S S3 5 5

S T P CLK #

V CC 3

R7 16

Z7 1 3 A 22 0(0 80 5)

D 80

C Z7 3 9 D Q85 S 2N7 0 02 VC C R2 22 C2 55 .1 U U36 D


Z288

S ML _0 10 MT _ G G

U36 E 1 0K (R)
Z271

Z266

R2 09

1K

B A T _B EE P

B A T _B EE P D B

Z269

R2 15 C 4.7 K
Z264

0 C B Z273 E Q31 2N3 9 06 R2 00 2.2 K FA UL T # R2 53 VC C 10 K FA UL T # 22

U36 B 3 U36 A 2 74 HC 1 4
Z262

U36 C 4 5 74 HC 1 4 3M R2 48 19 DISB L DISB L 6


Z263

S Q38 G

Z265

R2 10

E Q33 2N3 9 06

VC C C2 68

14 1 .1 U

74 HC 1 4 R2 49

2N7 0 02

LB P C D 29 A Z289

Z270

70
V CC 3 VC C R2 82 10 K P C _RING # R3 02 G S Q52 14 P C _RING # K BV 3 10 0 K Q56 18 C OM1RI C OM1RI R3 03 G 10 0 K D S 19 B E E P _E N # B E E P _E N # R2 01

1,1 1,1 2

CP U_S T P #

CP U_S T P #

CO M

10 74 HC 1 4

11

Z275

9 D 2N7 0 02 RING # 2N7 0 02 RING # 19 74 HC 1 4

8
Z272

R2 32 1M(R) C2 59 .47 U(08 0 5 )(R)

C Q37 E D T D 11 4E K(R)

U3 6F 13 12 74 HC 1 4
Z274

R2 47 10 0 K C2 67

E C E C 2N 39 04 (R) Q36 B

.04 7 U Q41 B
Z658

2N3 9 04 R2 20 10 K DISB L DISB L 19

R2 52

10 K LB P

V DD A LB P 21

1S S3 5 5

22 0 K
Z260

D 21 12 P C S P K C2 69 4.7 U 14 S P K R OU T S P K R OU T PC SP K A C 1S S3 5 5 R2 46 C2 58 C2 54 .04 7 U .1 U 1K .1 U C2 66
Z268

10 K

Z261 B

C Q32 E 2N3 9 04

R2 31

2.2 K

Z267

C Q30 E 2N3 9 04 R1 99 2.2 K (R)


T it le Size Docum ent Num ber

qCLEVO C L EVO C O. CO.

BIOS & LED INDICA TOR


B
Dat e:

71-51S00-D02
Monday, Sept em ber 18, 2000 Sheet 20 of

C ID 0 T T T T T P1 74 T P1 76 T P 4 C 54 L 75 V CC 3
Z307

C ID 1
NC NC G ND G ND

TP 8

.01 U(R) T T T P1 7 T7P 1 7 5


Z300

NC G ND NC G ND

st atus MAST ER SLA VE SLA VE SLA VE

L 10 U5 7 8L0 5(S O8 ) V D DA 1 O UT IN 8
Z329

B K 212 5H S 33 0(R) L9 B K 2 1 25H S 3 3 0 C 35 .1U

V CC

C ID 0 C ID 1
Z317 Z306

C 43
Z318 Z301 Z302 Z303 Z304 Z305

R 35 R 36 R 42

20 K (R) 20 K (R) R

+1 2V

C6 4 7 4 .7 U

C 70 .1U

C 72 .01 U

.04 7 U(R)

C 36 .1U

C 37 4 .7 U

AGND
V D DA C6 5 2 4 .7 U C6 5 4 .1U C6 5 3 .01 U 25 38 2 Z6 81 C 71 C 13 MCLK 1 2,13 A C _S Y NC CO DE CR ST #
MCLK R 45

U7 1 9 DV DD 1 DV DD 2

33 34 39 40 41 43 44 45 46 47 48

NC NC NC NC NC NC NC NC NC NC NC

P C _B E E P P HONE AU X _L

12 13 14 15 16 17 23 24 22 21

Z319 Z320 Z321 Z322 Z323 Z325 Z326 Z327 Z328

C 68 C 64

.1U .1U

Z324

R 41

1K LB P P HONE

LB P

20 13

2 3 6 7

G ND G ND 1 G ND 2 G ND 3

B K 2 1 25H S 3 3 0

P HONE

AGND
V D DA

CN 3 IN T MIC 1 2 H RS _ R_ HE

C6 5 0 .04 7U C 63 .04 7U

C6 4 6 .01 U

R6 4 6 20 K R8

AGND
16 A UD CLK A UD CLK

A V DD 1 A V DD 2 X T L _ IN

A U X_ R V IDE O _L V IDE O_R

C6 5 1 .04 7U C 62 C 57 C 48 C 58 .04 7U .04 7U .04 7U .04 7U C9 2 .7 K


Z330

AGND
L3 B K 1 6 08H S 3 3 0

3 5 6 8 10 11

X T L_O UT LIN E _IN _L S D AT A _O UT B IT _ CL K S D A T A _IN S Y NC R ES ET # LINE _IN_R MIC 2 MIC 1

AGND
10 U

Z6 54 Z6 55 Z6 56

R 14

AGND
C6 7 4 Z7 16 R7 1 8 1U C6 7 5 1K Z7 15 R7 R

2 .7 K L2 B K 1 6 08H S 2 4 1 C1 C7
Z351 Z352

A C _S Y NC CO DE CR ST # C 38 C 49 C 39 C 50 C 51 C 52 C 40 C 53 C 42 C 41 10 U .1U 10 U(R) .1 U(R) .00 1U .00 1U 10 U .1U .00 1U 10 U(R)


Z310 Z311 Z312 Z313 Z314 Z315

MIC _ IN

CN 1 5 4 3 2 1 HC H_ P HO NE _T

27 28 29 30 31 32

LINE _OU T _L V RE F LIN E_ OU T _ R V R EFOUT MON O_O UT A FILT 1 C D _L A V SS 1 A V SS 2 V SS 1 V SS 2 A FILT 2 C AP 1 C AP 2 C D_G ND C D_R A K M4 5 4 3

35 36 37 18 19 20

Z661 Z662 Z331 Z332 Z333 Z334

C 44 C 55

1U 1U T C 66 C 61 C 60 TP 3

LOUT L LO UT R

LO UT L LOU T R

.01 U

U3 12 S P DIF 19 S P DIFON
S PDI F

AGND
4 .7 K C D _L

S P DIFON R6 6 3

8 7 6 5

NO C OM N IC NC IN G ND N IC V CC P I5A 3 1 9

1 2 3 4

68 0P .22 U
SP DIF OUT

MIC IN
V D DA

C6 5 7 .1U

1U 1U 1U

Z335

R6 4 5

C D _L 10 C DGND 10

2 .7 K

C DGND
Z336

R 29

4 .7 K C 47 .01 U C 67 .01 U

C D_R

C D_R 10

26 42

R6 5 2 R6 5 1 R6 5 3 R 1K 1K

AGND

4 7

C 16 CN 5 1 2 H RS _ R_ HE AD 2
L_O UT + L_O UT -

R 20 10 K

R 19 15 K 3 10
Z565

R 16 15 K L_ O UT + L _OU T L _B Y PA S S S HU T D OW N MU T E O UT V DD V DD GN D/HS GN D/HS GN D/HS GN D/HS R _O UT + R _OU T R _B Y PA S S S E /B T L# H P /L INE # MUT E IN NC NC NC T P A0 2 0 2 22 15 19 14 16 11 2 17 23


Z550 Z551 Z552 Z341

R 15 10 K

C 13 5P CN 7
R_O UT + R_O UT -

R _O UT + L_ O UT +

SPEAK_L
MUT E O UT O P V CC L8 V CC C 34 B K 212 5H S 33 0(R) 4 .7 U .1U .1U C 30 C 33

6 8 9 7 18

1 2 H RS _ R_ HE AD 2

71
1 2,13 S D AT A O S D AT A O C 1 2,13 A C _B CL K A C _B CL K C A O UT L
AOUT L

O P V CC

V CC U2 8 7 R 12 10 K _1% (0 805 ) O UT G ND 4 G ND 3 S ET IN G ND 1 G ND 2 OF F# A ME8 8 0 7 R 13 3.6 K _ 1% (0 805 ) C6 4. 1 2 3 4

AGND
L 77 Z6 54 B K 1 6 08H S 3 3 0 C6 4 0 C6 4 1 C 1 2,13 S D AT A I S D AT A I

AGND
L 11 Z6 56 B K 1 6 08H S 3 3 0 C6 3 6 C C6 3 7 C 1 2,13 A C _R ST # L 76 Z6 55 8,9 ,1 0,1 3 ,1 4,1 6 ,23 P C IR S T # A C _R ST # R 27 P C IR S T # R 28 1 00 R CO DE CR ST # C 56 .01 U

VR 1

AGND

A OU T R LOU T R LO UT L A O UT L

AO UT R LO UT R LOUT L AOUT L

2 3 1 6 5 HC H_ VR _ 10K
PI NNC3. 4=AG ND

6
Z350

C8 4 .7 U

C 18 CO DE CR ST #

C 17

68 0P 68 0P

AGND

B K 1 6 08H S 3 3 0 C6 3 8

C6 3 9 C U4

AGND AGND

C 15 C 23

.22 U .22 U

Z339 Z340

R 18 R 22

10 K 10 K

Z337 Z338

4 5

L_ L IN E IN L_ H P IN

R_L IN E IN R _H P IN

21 20

Z342 Z343

R 17 R 21

10 K Z344 10 K Z345

C 14 C 22

.22 U .22 U

AO UT R

A OU T R 1 1 2 4 7U/1 6V 2 4 7U/1 6V

H P _S EN S E

H P _S EN S E

R 10

10 0K Z346

R 11

10 0K

OPV

R_O UT + C 21 L_O UT +

MS P K R MS PK L C 11 C 20 R1 1K

L5 L4 R9

B K 2 1 25H S 1 2 1 T P 1T 91 B K 2 1 25H S 1 2 1

Z347 Z348 Z349

5P

C 10

CN 2 5 4 3 2 1 HC H_ P HO N

C4 68 0P 68 0P 1K 68 0P H P _S EN S E

C 12

C3

SPEAKER

SPEAK_R
H P _S EN S E A MP _ D OW N TT P 2 T P1 80 TT P 1 T

68 0P .1U

AGND
A MP _ D OW N 19

C 32 1U

1 12 13 24

R 24 10 K

C 29 1U

C 31 .1U

L6 B E AD (1 2 06)


T it le Size Document Num ber

q C LEV O CO. CLEVO CO.

AGND

AGN D:25,26,27,28,29,30,31,32,33

AGND AGND
A3
Date:

A UDIO CODEC & A MP 71-51S00-D02


Monday, Septem ber 18, 2000 S heet 21 of

V D D3 Q V D D3 V D D3 19 P W R S W # R 2 02 10 K (R ) S4 R 7 38 0 Z7 2 9 H CH _ 5 1 _ P W R _ BU T T O N C 2 51 .1 U (R ) 63 0 P W R S W # R 2 1 3 R G .1 U (R ) Q 40 C D 22 A 4 K B _ S US# K B _ S U S # 19 P W RS W # G Q 42 R 2 35 R 2 51 10 K (R )
Z 354 Z 355

V D D3 Q 19 C 2 50 .1 U R 2 34 R 1 85 10 K (R ) D 20 A F 01 J 2 E (R ) K B _ O N# 19 V D D3 10 K (R ) 1 M(R ) U 3 7B
Z 386

10 K (R ) U 3 7A 5 3 7 D C LK GND R 6

9 11

D C LK R Q Q 10 13 12
Z 384 Z 385

R 2 33

Z 387

V D D3

T P 96

V DD Q Q

14 1 2 K B _ O N# V D D3 C 2 71 .1 U (R ) C 2 70 R 1 U _ K % (R ) V C C3 R 1 68 C P W R _ON P W R _ O N 12 ,1 9 ,2 3 ,2 5 ,2 8,2 9

T P 92 T T P 95 T

S D 2 N7 00 2 (R ) C 2 60

7 44 01 3 (R )

7 44 01 3 (R )

C 2 74

12 63 0 P W R S W #

S D Z 353 2 N7 00 2 (R )

1S S 35 5 (R ) S H U T DO W N

1 0 0K

A C I N# C

A C I N# 1 2,2 0

1 2,2 8 S H U T DO W N V C C3

R 2 50

5 60 K (R ) R 1 77
VA Z 383

B 1K

Q 47 E 2 N 39 04

R 2 11 R 2 12 2 O S# O S#

10 K (R ) 10 K (R )

Z 356

A D

D 30

1 0K (0 80 5) R 1 69

F 01 J 2 E (R )

Q 39 S 2 N7 00 2 (R ) C N 28 H C H _ R_ D C IN3 1 Z 381 L7
Z 382

L1 J 3 2 16 HS 4 8 0 C2 .1 U _K % (1 2 0 6 ) A DA P

D 81 B ++
VA

A 1 SS 3 5 5

C V D D 1 .8 _ B+ 3 2 B+ V D D3 R 67 R 68 R 5 12 R 5 13

J 3 2 16 HS 4 8 0 C 19 .1 U _K % (1 2 0 6 )

R 7 40 1M

R 7 39 1 0 0K Z7 3 6 D

Q 86 D T B 1 1 4E K (S OT -2 3) U 17 A C 1 Z7 3 1 VI D 82 1 SS 3 5 5 B ++ Q8 6A Z7 3 1 Q 88 DZ7 3 2 D 83 2 N 70 02 S Z7 3 2 G R 7 41 D 84

VO GND

3 C 4 57 R 5 11 1 00 K (R )

47 0 (0 8 0 5 ) 47 0 (0 8 0 5 ) 47 0 (0 8 0 5 ) 47 0 (0 8 0 5 ) B ++ C 4 56 .1 U (0 8 0 5 )

C N 2 8 #4 ~# 6 , # 1 1 ~ # 1 8 ->G N D #7 ~# 1 0 -> Z3 8 1

V C C3

L T 11 21 2

4 .7U

12 ,1 9 ,2 3 ,2 5 ,2 8,2 9

P W R _ON

P W R _ON

A 1 SS 3 5 5

C B ++

Z 398

D T B 1 1 4E K (S OT -2 3) Q8 9A Z7 3 3 R 7 43 4 7 0K R 7 44 2 0 0K Z7 3 5 G

V CC V C C3 C 3 69 R 6 72 1 0K 19 FA N _P W M FA N _P W M R 3 89 8 3 4.8 K _1 % 1 2m i l 1 3 16 F A N _S T P F A N _S T P R 3 76 1K
Z 366 B Z 372

40 M IL

.1 U R 3 88 U 26 V IN V MI N GND CF 8 20 F A U LT VO SENSE T C 6 46 6 7 5 C 3 58
Z 370

V DD

Z 367

Z 368

Z 369

R 3 78 R D Q 15 2 N 70 02 S R 3 79 15 K _1 % R 3 90 1 0 0K C 3 59 .0 1U C 3 76 .0 1U C 1 37

R 3 77 R

40 M IL

72

19 P W R S W # P W R S W #

Q 87

A C Z7 3 4 2 N 70 02 1 SS 3 5 5

D T B 11 4 EK (S O T -3 2 3 ) R 2 37 V CC 1 0K V D D3 R 1 78 R 7 42 1 0K
VA Z 400 Z 399

C B Q 43 E 2 N 39 04

1 0 0K

E B Q 44 C 2 N 39 06 B AT ON B A T O N 20

1 0K (0 80 5) R 1 86 63 0 P W R S W # 12 V CC 1 0K

Q 89

63 0 P W R S W # Q 90 2 N 70 02 C 6 93 1U

D T B 11 4 EK (S O T -3 2 3 )

L 37 B K2 1 2 5 HS 3 3 0

Z 375 Z 374

1 2 3

C N 16 1 2

Q 13 2S C 46 72 B

40 M IL C
Z 373

E D 11 1 SS 3 5 5

3 H R S _S _ H E A D3

FA U L T #

FA U L T # 20

Q 14

E C

R 3 91 0

Z 566 2

R 3 75 .0 1U _ K %
Z 371

1 0K

V CC

2 N 39 06

D 54 1 SS 3 5 5

R 3 87 6 .8K

C 3 68 1U


T itle Size Do cum ent Nu m ber

CO. qCLEVO CL EVO CO.

12 S US P E N D

S US P E N D

.2 2 U _K % (0 80 5) B
Date:

POWER ON & F A N CONTROL 71-51S00-D02


Monday, Septem ber 18, 2 000 S heet 22 of

V CC 3 V CC 3
AD[0. . 31] AD0 AD2 AD4 AD6 AD8 AD10 AD12 AD14 9,14 9,14 9,14 9,14 C/ BE#0 C/ BE#1 C/ BE#2 C/ BE#3

V CC 3

9,14

AD[0. . 31]

C /B E #0 C /B E #1 C /B E #2 C /B E #3 V CC

1 3 5 7 9 11 13 15 17 19 21 23 25 27 29 31

K1 L L L L L L L L L L L L L L L L

U U U U U U U U U U U U U U U U

2 4 6 8 10 12 14 16 18 20 22 24 26 28 30 32

AD1 AD3 AD5 AD7 AD9 AD11 AD13 AD15

8 7 6 5

V CC RN 6 R6 70 10 K R6 71 10 K R5 81 10 K R5 80 10 K C6 89 8P 4R -4 .7K .1U U 42 16 1 15 14 2 7 9 8 V CC EA # EB # S0 S1 YA YB G ND QS 3 2 5 3 10 A 11 A 12 A 13 A 10 B 11 B 12 B 13 B 6 5 4 3 10 11 12 13

R5 47 1K 1 2 3 4

R5 46 1K

S DA _RA S DA _RB
Z670

80 P OR T _ CL K P CIR S T # F RA ME # IR DY # T R DY # V CC

S DA _RA S DA _RB SDA_ AT F S CL _RA S CL _RB S CL _ A T F

5 5 2 5 5 2

80PO RT _CLK 11 PCIRST # 8, 9,10, 13,14, 16,21 FRAME# 9,14 I RDY# 9,14 T RDY# 9,14

16 S E LEC T A 16 S E LEC T B 12 S MB DA T A 12 S MB CLK

S E LEC T A S E LEC T B S MB DA T A S MB CLK

SDA_ AT F S CL _RA S CL _RB

Z669

S CL _ A T F

GOL D -F IG EN

V CC

G 2 S CL _ A T F S CL _ A T F G 2 SDA_ AT F SDA_ AT F D S Q 76 2N 70 02 D S Q 75 2N 70 02 S C L _A T FF S C L _A T FF 1 1,15

S D A_A T FF

S D A_A T FF

1 1,15

C 92 .1U

C 93 10 U V CC 3

V CC R2 80 4 70
Z393

D G Q 51 S ND S 3 5 2
Z394

+1 2V

+1 2V C 91 .1U C6 91 .1U R2 81 10 0K BT 1
Z395

R3 00 1K

B AT _CR 20 23

73
B+ 1 3 5 7 9 11 13 15 17 19 21 23 25 27 29 31 33 35 37 39 41 C N 20 1 3 5 7 9 11 13 15 17 19 21 23 25 27 29 31 33 35 37 39 41 D C/D C 2 4 6 8 10 12 14 16 18 20 22 24 26 28 30 32 34 36 38 40 42 Co n n 2 4 6 8 10 12 14 16 18 20 22 24 26 28 30 32 34 36 38 40 42 D 35 Z6 83 A C Z389 A 1S S 3 5 5 D 36 V CC 12 ,1 9 ,22 ,2 5,2 8,29 P W R _ON V CC 3 V CC 3 V CC C 94 .1U C 95 10 U V DD 3

V DD 1.8 A C6 90 .1U RT CV DD C Z390 A 1S S 3 5 5 D 39 F0 1J 4 C C3 00 D 38 1S S 3 5 5


Z392

D 42 F0 1J 2 E

1.8V
R3 10 51 K
BA T O K

B AT OK

12

Z391 R7 04

0 C3 08 .01U

D 70

A C3 10 22 U/10 V _12 10

1S S 3 5 5 1U

D 40 1S S 3 5 5(R)


T it le Size Docum ent Num ber

q CLEVO C L EV O C O. CO.

SMBUS & RTC POWER


B
Date:

71-51S00-D02
Monday, S eptem ber 18, 2000 S heet 23 of

A F5 5A
Z401

D D 74

B+

C2 75 C2 38
22UF/25V(DIP 10X5)

0.1U F(0805)

R2 63 11,27 VR _ON R Z4 03

V CC 3

R2 76

10K

12 G CL _GA T E 6 7 8 5 C2 94 4

Q 28 S I4884 (S O8)

5 4

Q 29 S I4884 (S O8)

6 7 8

V CC _CO RE N8 N9 N 10 N 11 N 12

VC C2_ CO RE +

R2 56 10K

Q 46 2 N39 06
Z402

2
1 2 3 D 16 A F1 J4 C C2 86 R2 95 C2 92 Z6 90 R1 67 R Z6 77 6 7 8 5 R2 77 R C2 21 1000P IN T V CC
Z405

R2 55 200K (0603)

IN T V CC 1 Z4 16

0.1U F L 53 1.5uH (MOD IN G) R1 73 14m (1206) R1 74 14m (1206) C

1 2 3

C2 06 C2 04 47U F/6.3V(D) 47U F/6.3V(D) C2 07 C2 09 C2 91 47U F/6.3V(D) 47U F/6.3V(D) 2.2UF/25V(1206)

C2 90
0.1UF

0.1U F(0805)
P2

D ZD 3 R1 75 14m (1206) F1A J3 D 23 R6 92 20m (1206) C2 08 220uF /4V C2 36 220uF /4V C2 05 220uF /4V

5 4 Q 35

6 7 8

2
Z404

R2 73

R 1 2 3 2.2 UF/25V (1206) C2 93

Q 34 S I4874 (S O8) 1 2 3

C2 85 R2 78 10K C2 95
220PF P2

0.1U F(0805) U 34 0.1U F C 68P F R2 64 0 Z5 48


Z406

S I4874 (S O8) Z5 68

C2 81 C2 11 C2 10 V CC R2 85 IN T V CC

21 2 3 1 17 4 15 14

V IN RU N/SS IT H Co s c LT C17 36CG

P GO OD IN T V c c V IDV c c B OO ST TG SW BG S EN SE + SE NS EV OS EN SE VFB PG ND

6 20 16 23 24 22 19 8 7 10 9 18
Z407 Z409 Z410 Z411 P2

R2 71

1 R1 76 C2 20 0.01U F 0

E X TVcc FCB B4 B3 B2 B1 B0 SG ND

<P CB Footprint>

R2 74

A 0 C2 19
Z412

74
R 2,15 V ID 4 2,15 V ID 3 2,15 V ID 2 2,15 V ID 1 2,15 V ID 0 R R R R R R R

C2 37 C

C
Z413

R6 93 R6 94 R6 95 R6 96 R6 97 R

13 12 11 5

R2 75 0

Z5 67

C2 87 560P

R2 72 C2 82 C 392K 1 J2 2

2
P2

S HORT -A

2
1 J1 2 1 VC C_S EN SE VC C_S EN SE
S HORT -A P2

R6 98 R6 99 R7 00 R7 01 R7 02 R

VS S_S EN SE

CORE 1.35V/1.6V 15A PK 17A

VS S_S EN SE

CO. - - CLEVO q

71-51S00-D01
Size Docum ent Num ber Rev

B
Date:

71-51S 00-D 02 Mon day, S eptem ber 1 8, 20 00


S heet

1.0 24
of

29

P3

C417
0.1UF

R461
4.7

Z688 U23 13 R482


R Z418 Z419

Z425

F2 + C687 C142
100UF/25V(6.3X7)-L

5A

B+

VIN EXT VCC RUN/SS COSC

INT VCC TG BOOST SW

12 Z671 A 16 Z422 15
Z423

4.7UF(1206)5 P3 4

6 7 8

C428

Q16 SI4416(SO-8)
22UF/25V(C)

9 2 1

D5 F1J4 C 1 2 3 C430
0.22UF Z424

L36 Q17 SI4416(SO-8)


SDS1005-4R7M

R89

14m(1206)

N1 N2

5 4

6 7 8

14

D57 C419
0.1UF

C432
33PF Z420

3 4 8

IT H PGOOD SGND VOSENSE LT C1735-1

BG PGND SENSE+ SENSE-

11 Z426 10 6 Z427 C418


1000PF P3

F1AJ3 ZD2 2.4V R437


32.4K 1%

C431 12,19,22,23,28,29 PWR_ON R435 10K


Z460

Q67 2N3906 R460 C429

Z421 470PF

1 2 3

Z696

+ C111
330UF/4V

C668 1000P(0603)

R481 R
220PF 33K Z429

5 Z428 R691 C415


47PF 0

S3

C416 R436
47PF 25.5K 1%

75
S3 S3

JUMPER SHORT J P3

JUMPER SHORT J P2

VCC1.8 2A PK 3A

S3

P3

CLEVO CO.
T itle

71-51S00-D01
Size Document Number

B
Date:

71-51S00-D02 Monday, September 18, 2000


Sheet

FA 1 B -1

7A C A 12

Z 433

IN T V C C -1 C A 10 +

0 .1U F /5 0V (1 2 06 )
V CC3-1

R A 27 5 R 1(0 8 05 ) C A 15 0 .1 U F(0 8 05 )
Z 554 A

C A 33 4 .7U F/3 5 V (12 0 6)(R ) DA4 F1 J4 C CA2


100UF /25V (6.3 x 7)

1 2V -1

C A 19 4 .7U F/3 5 V (12 0 6)(R )

2 2 U F /2 5 V (D IP 1 0X 5 ) CA6 0 .1 U F A DA7 C A 11
100UF/25V(DIP6.3 x 7)

CA5 2 .2U F /2 5V (1 2 06 ) V IN 1
P1

R A 35 R A 34 1 5 0(1 2 06 ) R (1 2 06 )

C A 23 2 .2U F /2 5V (1 2 06 ) 21

C A 13 C 24 0 .1 U F(0 8 05 ) F1 J4 5 QA 3 S I44 1 6(S O -8 ) 4 CA7 3 2 1 8 7 6

A DA6 F1 J4 C
Z 449 Z 450 Z 451

5 4 C A 24 0 .1 U F 5

QA 2 S I44 1 6(S O -8 ) LA1


10UH(1.42)

V IN

C A 20 2 .2U F /2 5V (1 2 06 )

R A 14 14 m (1 2 06 ) R A 13 LA2 S D S 12 0 8-6 R 5 M C 8 7 6

P1

6 7 8

IN T V C C

Z 434 Z 435 Z 436

16 18 17 19 9

T G2 B OOS T 2 SW 2 B G2 S GN D

T G1

27 25 26 23 20 2 3 4 7 10 22 8

V C C -1 2 8 9 10 R A 12 10 m (1 2 06 ) R A 11 R A 25 R (1 2 06 ) DA5 5 .6 V (L L -34 ) C C A 28
47UF /6.3V (D)

0 .1 U F

B OOS T 1 SW 1 B G1 UA1 L T C 1 6 28 P GN D S E N S E 1+ SENSE1V OS E N S E 1 FCB 3 .3 V ou t

7 3 4 5 DA1 D (F 1 A J 3 ) 61 72 83

R A 24 R (1 2 06 )

33 m (1 2 06 ) DA2 F 1A J 3 ZDA 1 3 .9 V (L L -34 )

54 QA S I4 8 12 (S 0 -8 ) 4
Z 438

Z 437

Z 452

4
Z 453

14 13

DA3 F 1A J 3 40 m (1 2 06 ) C A 21 2 .2U F /2 5V (1 2 06 ) V C C 3-1 0 R A 37 Z 6 94R A 29 C A 31 10 0 P D D A 72 10 5 K A

CA4 +
47UF /6.3V (D)

C A 32 3 2 1
1000P

P1 Z 454 Z 455 Z 456 Z 457

1000P

QA 1 S I48 1 2(S O -8 )

1 2 3

S E N S E 2+ SENSE2V OS E N S E 2 FR E Q S E T S T B Y MD R U N /S S 2 FL T C P L IT H 2

C A 30

CA3
47UF /6.3V (D)

RA6 6 3.4 K C A 37 10 0 P

Z 6 95R A 36

0 IN T V C C -1 RA1 RA2 RA9 RA5 20K R A 31 R


S1

Z 439

12 5 6 28 11

0 R 0 RA3 R C A 34 0 .1 U F

Z 440 Z 441 Z 442

RA7 RA4 R A 10 Z 6 79 R 0 V C C 3-1 V C C -1

28 7 K

R U N /S S 1

EXT VCC IT H 1

1 00 0 P C A 29 A

R A 30 20K

15

R A 26 R

S1

+ CA8 47 U F /6.3 V (D )

CA1 22 0 P
Z 448

RA8 20K C A 36 1 00 0 P
S1

CA9 47 U F /6.3 V (D )

D A 73 D

S1

Z 6 78

C A 26 22 0 P
Z 443

S1

76

C A 35 1 00 0 P IN T V C C -1 C A 27 1 00 0 P
S1

C A 22 47 U F /6.3 V (D ) R A 33 1M V IN 1 1 00 0 P R A 28 10K C A 25

R A 32 10K

IN T V C C -1 R A 22 R (0 6 03 ) R A 23 R (0 6 03 )
Z 446

R A 19 10K QA 7 2 N 3 9 04 B R A 20
Z 447 DD_O N+

S1

Z 445

R A 17
Z 444

QA 6 2 N 3 9 04 B

C C E C A 18 1 00 0 P C A 16 E 1 00 0 P

10K R A 21 20 0 K C QA 5 E 2 N 3 9 04 R A 15
V R_O N-1

10K C A 14 1 00 0 P R A 18 20 0 K C A 17 1 00 0 P B Z 555 R A 16 20 0 K

10K

V R _ O N -1

B -1 1 3 5 7 9 11 13 15 17 19 21 23 25 27 29 31 33 35 37 39 41 CNA1 2 2 4 1 4 6 3 6 8 5 8 10 7 10 12 9 12 14 11 14 16 13 16 18 15 18 20 17 20 22 19 22 24 21 24 26 23 26 28 25 28 30 27 30 32 29 32 34 31 34 36 33 36 38 35 38 40 37 40 42 39 42 41 D C /D C C on n A
S1 S1

V R _ O N -1

S1

V C C 3-1 1

V C C 3-1

JA3

JA2

S HO RT -A S1

S HO RT -A P1

VCC3 4A PK 6A VCC 4A PK 6A 12V 0.22A PK 0.35A

V C C -1

V C C -1

1 2V -1

1 2V -1

CO. CLEVO q - 71-51S00-D 01


S iz e Date: Docum ent Num ber Rev

C u s tom 7 1 -51 S 0 0 -D 02 M on d ay , S e p tem b er 1 8, 2 0 00


Sheet

1.0 26
of

29

V D D 1 .8_ B +

C6 6 4 Z7 2 3 C

Z6 9 2 C

D7 1 D

A
V D D 1 .8 + V D D 1 .8

U4 9 M A C A -2 9 5 1 (S O -8 ) ERR OR # VI VO VO GND 5V FB

1 2 7 C6 6 6 Z6 9 8 R3 4 3 4 3 .2 K / F C6 8 8 D7 5 2 .4 V R6 8 9 1K
Z 45 9 .1 U

N1 3

3 C6 6 7 C6 6 5 C 2 . 2 U F /2 5 V (1 2 0 6 )

SD #

6 2 . 2 U F /2 5 V (1 2 0 6 )

V CC 3

R3 4 5 10 0K/F

N1 4

N1 5

4 . 7 U F /6 .3 V (D ) Z 8 0 1

C1 7 2

R1 3 9 1 4 m (1 2 0 6 )

Z 46 1

U3 3 SE NSE IT H VF B R U N /S S L T C 162 2 VIN P DR V GND S YN C

8 7 6 5
Z 46 5 P4 Z 46 4

4 3 Q20 S I3 4 4 3 ( T S O P -6 ) L5 2 S D S 1 0 0 5 -4 R 7 M
Z 46 6

1 2 5 6

C3 0 1
11 ,2 4 V R _O N

R3 1 7

R1 5 4

10 K

Z7 0 7

Z6 9 7

Q53 2N 390 6 C1 9 5 1 0 0 0 P (0 6 0 3 ) R1 5 3 R

C3 1 4 C
Z 46 7

R3 2 0 0.01U F 10 K 237 K

R3 1 8 9 3 .1 K

C1 9 4 1 0 0 0 P (0 6 0 3 )

R6 9 0 0 C 3 0 3C 3 0 2 220 P220 P R3 1 9 75 K

Z 55 7

JUM P ER SH O R T JP 1

77
P4

Z 46 2 2 Z 46 3 3 Z 55 6

VC CT + N7 N3 ZD 4 2 .4 V

D4 7 R3 0 6 R F1AJ3 C1 8 3 3 3 0 U F /4 V (D )

CLEVO CO.
Tit le

V DD 1.8 = 1 .8V / 100 m A V CC T = 1 .5V / 1 .5A


S iz e

7 1 -5 1 S 0 0 -D 0 1
D oc u m e n t N u m b e r

B
D a t e:

7 1 -5 1 S 0 0 -D 0 2 M o n d a y , S e p t e m b e r 1 8 , 2 0 0 0Sh e e t 27
of

VCC

VC

C R 1 21 0 U 29
Z5 60

R 1 27 1 00 K 6 5 4 3 22 23 24 25 26 27 2 1 14 13 12 11 18 19 20 17 R 1 20
R

R 3 50
R

R 3 48
10K

R 1 24
R

R 3 57
47K

R 3 74
R

R 1 19
R

R 3 59
4.7K

R 3 71
4.7K

D 53 D 52 1S S 3 55 1S S 3 55 A A
SHDN_CT L FULL_LED

R 3 61 0 19 S MA L E R T 29 A C _IN
VC Z4 68

21 8 15

ESV P B 1/T C A P V dd

PC4 PC5 PC6 PC7 P A 0 /P W M0 P A 1 /P W M1 P A 2 /P W M2 P A 3 /P W M3 P A 4 /S C L0 P A 5 /S D A 0 P A 6 /S C L1 P A 7 /S D A 1

Z5 59

Z4 80

P W M_C T L 29 C H G_C T L 29
BUS_CT L BAT _CLK 19, 29 BA T _DAT A 19, 29

R 3 72 R IR Q

R 3 62
10K

R 1 29
10K

R 1 25
10K

C 1 58 1 0U F (12 0 6)

C 3 43
1UF /16V (0805)

C
0

Z4 69 Z4 70

28 9 10 16

R 3 73 R 3 49
0 Z4 83 Z4 84

RE SE T P B 7 /A N 0 P B 6 /A N 1 P B 2/C S 0 (O S C 1) P B 5 /A N 2 P B 4 /A N 3 TM P B 3/C S 1 (O S C 2) CS A VM VSS C A P (A D C )


WI N723(SO IC-28)

R 1 17 R 3 56
0

D 55 D 49 1S S 3 55 1S S 3 55 R 3 70
R

H i => R es et C h arg er

C 3 66 0 .1U F

C 3 44 1U F /16 V (08 0 5)

R 3 38
R Z4 85

Z4 71

IR Q / V pp

Z4 81 Z4 82

C H G_I 29
VC

R 1 30
R

R 1 26
R

R 1 33
510K

C 3 30
1UF /16V (A)

VC

U 30
VC

21 8 R 3 34 3 30 (08 0 5) 15

ESV P B 1/T C A P V dd

PC4 PC5 PC6 PC7 P A 0 /P W M0 P A 1 /P W M1 P A 2 /P W M2 P A 3 /P W M3 P A 4 /S C L0 P A 5 /S D A 0 P A 6 /S C L1 P A 7 /S D A 1

6 5 4 3 22 23 24 25 26 27 2 1 14 13 12 11 18 19 20 17

VC

R 3 35 3 30 (08 0 5)

R 1 28
14K /B

R 3 37 4 3.2 K /F
FULL_LED

R 3 60 10 K
Z4 93

Z4 94

Z4 72

R 3 58 10 K

V_BAT 29

B A T C H A 20

10 16 C 4 45 C D 61 1S S 3 55 C A

T E MP 29

Z7 27

12

29 BA T

Z4 74A

Z4 76

VI

ERROR# GN D 5V

470 (0805)

Q71 A D T B 1 14 E K (S O T -3 2 3)

R 84
470 (0805)

3 C 4 52 C C 1 13

SD#

FB

C 4 46 D 6 0 .1U F 5 .6 V

R 4 92 1 8K /B

R 75 10 0 K /F 2 _5 V Z7 25

R 81
470 (0805) Z4 75

D T B 11 4E K (S O T -2 3) Q71

R 80

Z8 00 R 5 06 1 8K /B C 4 44 2 .2U F /25 V (12 0 6)

5 4 6

R 7 32 0 R 78 1 00 K
VC Z4 88

R 4 99 10 0 K /F

C 1 02 0 .1U F (08 0 5)

1M

R 5 00 Z4 91

12 ,19 ,22 ,23 ,25 ,29

P W R _ ON

Q70 D T D 11 4E K (S O T -2 3)

2 .2U F /25 V (12 0 6)

Z7 26

Q5 2 N 70 02 Q70 A D T D 1 14 E K (S O T -3 2 3)
BA T _MO DE Z4 89

Q69 2 N 70 02

R 4 98
10K

VO VO

1 2

29

VIN

Z7 24

Z6 22

78
7 28 C 29 C H G_C T L
Z4 73

Q59 2 N 39 06 B A T F U LL 19 ,20

IR Q / V pp RE SE T

3 _5 V

Q58 E 2N3904

P B 7 /A N 0 P B 6 /A N 1 P B 5 /A N 2 P B 4 /A N 3 TM P B 3/C S 1 (O S C 2) CS A VM VSS C A P (A D C ) P B 2/C S 0 (O S C 1)


WI N723(SSO P-28)

R 3 47 10 0 K /F

VCC3

R 7 29 R S H D N _C T L C 1 47 C 3 37 C 3 36 1U F /16 V (08 0 5) 1U F /16 V (08 0 5) 1U F /16 V (08 0 5) U 19 A LM3 39 1 Z4 92 R 73 47 K R 72 10 K


Z4 90

Z7 22 A D4 E C 11 FS 2 C R 7 03 R D3 E C 11 FS 2 C R 77
470 (0805)

Z4 79

C 1 59 1U F /16 V (08 0 5)

VA

V IN 29 U 21 MIC 2 9 51 (S O8)

VC

Z6 23

D 62 1S S 3 55 A C

D 60 R 4 89 1S S 3 55 1 58 k A C Z4 86

R 7 31 0

2_5V

S H U T D OW N 12 ,22 H i = > S h u td o w m sy ste m

C 6 98 C (08 0 5)

R 5 09 0 D 63 1S S 3 55

Q72 2 N 39 04

CLEVO CO. q - -
71-51S00-D01
Size Docum ent Num ber Rev

B
Date:

71 -51 S 00 -D 02 Mon d ay, S e pte m b er 18 , 20 00


Sheet

1 .0 28
of

29

A DA PT E R

F1

5A C 12 2 1 00 0 P

Z 63 0

L2 9

6 00 (1 2 06 )

Z 49 5 C 12 9 C 13 0 10 P (1 2 06 ) Z 64 9

0 .1U /50 V (1 2 06 )

L3 0

6 00 (1 2 06 )
VA

D12 K S 8 23 C 0 4 (T O -2 52 ) A1 C A2

R 10 3 100m (2512)
Z 495

B+

VC VC

C 34 5 0 .1 U F /50 V (1 2 06 ) R 43 8 R 43 9 R 44 0
10K/F 10K/F 100K/F

R96
10K/F

R 28 8 0 U24D L M32 4
+ -

R 46 4 R R 46 5
20K

IRQ

CE LLS

V _B AT 28

A
Z 496

C D59 1S S 35 5 R 46 2 10 0 K

Z 499

12 Z 62 1 13

14

Z 500

Z 501 Z 502

10
Z 497 9

+ -

C 39 9 C

Q6 8 2 N 3 90 4 C 43 3 1 U F /16 V (0 8 05 ) D18 K S 8 23 C 0 4 (T O -2 52 ) A1 C A2 C 29 8 0 .1 U F /50 V (1 2 06 ) C 69 9 0 .1 U F /50 V (1 2 06 )

8 Z 498

R 46 8 51 0 K Q7 2 N 3 90 6 28 B AT

2 8 A C _ IN R95
11.3K/F

R 46 3
100K/F

U24C L M32 4

B+

C 12 0 1 U F /16 V (0 8 05 ) LA3 S D S 1 2 0 8_ L A

C 11 2 1 U F /16 V (0 8 05 )

VA

R 14 1 C 36 7 0 .1 U F /50 V (1 2 06 ) R 41 8 C 37 4 1 0 U F /25 V (1 8 12 ) 2 8 A C _ IN
R406 36.5K/F 4.7K

40m (1206) 40m (1206) Z 528

1 2 3 Z 503 4 C

Q1 9 S OUR C E S S OUR C E S S OUR C E S GA T E S I4 4 31 (S O 8 )

D R A IN D R A IN D R A IN D R A IN

8 7 6 5

Z 521

Z 522

C 31 5 C 30 4 C 33 1

R 14 0

L4 2 1 0 0 U HC 17 3 D50 R B 05 L

C 17 4

C 67 0 R 46 6
2K/F

R 32 5 10 0 K R 44 1
2K/F

1 2 3 Z 532 4

Q2 4 S OUR C E S S OUR C E S S OUR C E S GA T E S I4 4 31 (S O 8 )

D R A IN D R A IN D R A IN D R A IN

8 7 6 5

Z 71 4 A

C D15 F 1A J 3

B AT 28

R 15 5
R Z 535

R 29 8
0

R 29 9
18K/B

B E Q6 2 2 N 3 90 4 D76 D R 40 5
10K/F Z 505 B Z 504

0 .1U F (0 8 05 )
100UF /25V (6.3x 7)-L 2 2 U F /25 V (2 2 20 ) 100UF /25V (6.3x 7)-L 2 2 U F /25 V (2 2 20 )

4.7

Z 527

R 32 2 20K
Z 533

R 11 8

100UF /25V (6.3x 7)-L

V _B A T 2 8 R 29 7
100K

F4 7A

C 67 1
C(S MT )

C 67 2

C 67 3 R 46 7
499K/F

C(S MT ) C(S MT )

Z 537

Z 67 4

C 40 0 C

Q2 5 2 N 7 00 2 S

G Z 536

VA

R 28 9 4.5 3 K /B

CN4
Z 547

E C
VA

R 46 9 2 00 K /F
Z 523

D8 1S S 35 5 C

R 44 2
10K

Z 524

Q6 3 2 N 3 90 6 C 12 8 1 U F /16 V (0 8 05 ) R 10 1 8 11 12 13 5 6 4 3 U27 Q 1 -C Q 2 -C V CC MO D E CT RT DE AD C O MP T L 4 9 4(S O -16 )


Z 512

7 U24B L M32 4
40.2K/F R97

+ -

5 6

28

C H G_ C T L B Q6 0 Q Z 506 B

Z 538

2N3904 E

C Q5 5

R 30 7
10K

R 16 2
100K/B

B Z 534

C H G_ C T L 2 8

Q1 8 Q E

44.2K/B 499K/F BAT Z 526 28 P _CV

R 28 6 C E R 11 0
0

R 28 7
100K

C
Z 507 Z 508 Z 509 Z 510 Z 511

C 14 0 1 00 0 P

R 11 2 10K

R 11 1 10 0 K R 42 2 5 .1 K

Z 540

C 13 9 1 U F /16 V (0 8 05 ) R 41 6
6.8K Z 564

53.6K/B

Z 67 6

1 IN + Q 1 -E Q 2 -E 2 IN + 2 IN VREF 1 IN G ND

1 9 10 16 15 14 2 7

2 8 C H G_ I R 10 0
R Z 513 Z 514 Z 515

G Z 539 Q5 4 2 N 7 00 2

R 41 7

P _CV

R 42 5
10K/F

Z 525

C 40 1

0.1 U F

Z 67 2 R76

R 28 4
18K/B

1 2 3 4 5 R 27 9 6 0 7 8 9 10 11 12 B A T _ MO D E 1 3 14 15 16 17 18 19 20 C ON2 0 V IN 28
VC

C 70 0 0 .1 U F /50 V (1 2 06 )

BA T _DA T A 19,28

R 42 1
510K

C 38 3 0.1 U F

R 41 9
R

R 42 0
100K

R 50 5
0 Z 541

R 29 6
220

R 51 0
100K Z 542

R 42 4
10K/F

VA

2 8 V _B A T

Z 68 9

R 48 3 5 .1 K 2 8 P W M_ C T L R85 3 .3 K
Z 517

R 47 0 5 .1 K
Z 518

5.1K/F

Z 519

R 42 3 3 2 C 10 5 1 0 U F/1 6 V (B ) C 12 1
1UF 16V (0805)

R 50 4
100K Vc

12

11

U24A L M32 4
Z 516

R 68 7
0

G Q6 4 Q (7 0 02 ) S

Z 520

P W R _ O N 12 ,1 9,2 2 ,23 ,2 5 ,2 8

Z 546 S

G Z 74 2 Q9 2 Q (7 0 02 )

B A T _ MO D E

Z 69 9

R 49 1
0

79

T E MP 2 8
B A T _CLK 19,28

CE LLS

N i = Hi
B A T _MO DE

C 69 7

C (0 6 03 ) R 10 2
510K VC

R 50 2 Q4 2 N 7 00 2 G R 40 4
R 47K

U19B 2 L M33 9 + 5
-

R 50 1
383K/F

T E MP 2 8

Z 543

Q6 2 N 7 00 2 G Z 545

R 49 0
53.6K/F

R 38 6

R 50 3
100K

R 74 7
R

R79
10.7K/B

G Z 544 Q7 3 2 N 7 00 2

CLEVO CO. q - 71-51S00-D 01


S iz e Docum ent Num ber Rev

C u s tom
Date:

7 1-5 1 S 0 0-D 0 2 Mo n d ay , S ep te m b e r 18 , 2 00 0
S heet

1.0 29
of

29

Potrebbero piacerti anche