Sei sulla pagina 1di 97

Account Name Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie

Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie

Contact Full Name Paul Jasmine Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Paul Jasmine Paul Jasmine Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes

Make ACCRETECH/TSK ACCRETECH/TSK ADE CORPORATION ADVANCED METROLOGY SYSTEMS LLC (AMS) ADVANCED METROLOGY SYSTEMS LLC (AMS) ADVANCED METROLOGY SYSTEMS LLC (AMS) ADVANCED METROLOGY SYSTEMS LLC (AMS) AEHR TEST SYSTEMS AEHR TEST SYSTEMS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS

Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie

Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes

APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS

Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie

Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Bryan Lease Bryan Lease Bryan Lease Matt Hayes Matt Hayes TedShafer TedShafer TedShafer Matt Hayes Matt Hayes Matt Hayes Bryan Lease Bryan Lease Matt Hayes Matt Hayes Matt Hayes Matt Hayes Bryan Lease Matt Hayes Bryan Lease Matt Hayes Bryan Lease Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes

APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS APPLIED MATERIALS ASM INTERNATIONAL ASM LITHOGRAPHY, INC. ASM LITHOGRAPHY, INC. ASM LITHOGRAPHY, INC. AST ELEKTRONIK GMBH AVIZA TECHNOLOGY, INC. AVIZA TECHNOLOGY, INC. AVIZA TECHNOLOGY, INC. AVIZA TECHNOLOGY, INC. AVIZA TECHNOLOGY, INC. AVIZA TECHNOLOGY, INC. AVIZA TECHNOLOGY, INC. AVIZA TECHNOLOGY, INC. AVIZA TECHNOLOGY, INC. AVIZA TECHNOLOGY, INC. AVIZA TECHNOLOGY, INC. AVIZA TECHNOLOGY, INC. AVIZA TECHNOLOGY, INC. AVIZA TECHNOLOGY, INC. AVIZA TECHNOLOGY, INC. AVIZA TECHNOLOGY, INC. AVIZA TECHNOLOGY, INC. AVIZA TECHNOLOGY, INC. AVIZA TECHNOLOGY, INC. AVIZA TECHNOLOGY, INC.

Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie

Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Bryan Lease Bryan Lease Bryan Lease Bryan Lease Bryan Lease Bryan Lease Bryan Lease Bryan Lease Bryan Lease Bryan Lease Bryan Lease Bryan Lease Bryan Lease Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Bryan Lease Bryan Lease Bryan Lease Bryan Lease Bryan Lease Matt Hayes Matt Hayes Matt Hayes Matt Hayes Bryan Lease Matt Hayes Matt Hayes Matt Hayes

AVIZA TECHNOLOGY, INC. AVIZA TECHNOLOGY, INC. AVIZA TECHNOLOGY, INC. AVIZA TECHNOLOGY, INC. AVIZA TECHNOLOGY, INC. AVIZA TECHNOLOGY, INC. AVIZA TECHNOLOGY, INC. AVIZA TECHNOLOGY, INC. AVIZA TECHNOLOGY, INC. AVIZA TECHNOLOGY, INC. AVIZA TECHNOLOGY, INC. AVIZA TECHNOLOGY, INC. AVIZA TECHNOLOGY, INC. AVIZA TECHNOLOGY, INC. AVIZA TECHNOLOGY, INC. AVIZA TECHNOLOGY, INC. AVIZA TECHNOLOGY, INC. AVIZA TECHNOLOGY, INC. AVIZA TECHNOLOGY, INC. AVIZA TECHNOLOGY, INC. AVIZA TECHNOLOGY, INC. AVIZA TECHNOLOGY, INC. AVIZA TECHNOLOGY, INC. AVIZA TECHNOLOGY, INC. AVIZA TECHNOLOGY, INC. AVIZA TECHNOLOGY, INC. AVIZA TECHNOLOGY, INC. AVIZA TECHNOLOGY, INC. AVIZA TECHNOLOGY, INC. AVIZA TECHNOLOGY, INC. AVIZA TECHNOLOGY, INC. AVIZA TECHNOLOGY, INC. AVIZA TECHNOLOGY, INC. AVIZA TECHNOLOGY, INC. AVIZA TECHNOLOGY, INC. AVIZA TECHNOLOGY, INC. AXCELIS AXCELIS TECHNOLOGIES INC. AXCELIS TECHNOLOGIES INC. AXCELIS TECHNOLOGIES INC.

Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie

Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Ted Shafer Paul Jasmine Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes

AXCELIS TECHNOLOGIES INC. AXCELIS TECHNOLOGIES INC. AXCELIS TECHNOLOGIES INC. AXCELIS TECHNOLOGIES INC. BOC EDWARDS BROOKS AUTOMATION, INC. BROOKS AUTOMATION, INC. BROOKS AUTOMATION, INC. BROOKS AUTOMATION, INC. BROOKS AUTOMATION, INC. BROOKS AUTOMATION, INC. CARL ZEISS GROUP CFM TECHNOLOGIES CREATIVE DESIGN ENGINEERING, INC DAINIPPON SCREEN MFG. CO. DAINIPPON SCREEN MFG. CO. DAINIPPON SCREEN MFG. CO. DAINIPPON SCREEN MFG. CO. DAINIPPON SCREEN MFG. CO. EBARA EBARA EBARA EBARA EBARA EBARA EBARA EBARA EBARA EBARA EBARA EBARA EBARA EBARA EBARA EBARA EBARA EBARA EBARA EBARA EBARA

Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie

Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes

EBARA EBARA EBARA EBARA EBARA EBARA EBARA EBARA EBARA EBARA EBARA EBARA EBARA EBARA EBARA EBARA EBARA EBARA EBARA EBARA EBARA EBARA EBARA EBARA EBARA EBARA EBARA EBARA EBARA EBARA EBARA EBARA EBARA EBARA EBARA EBARA EBARA EBARA EBARA EBARA

Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie

Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Paul Jasmine Paul Jasmine Paul Jasmine Paul Jasmine Paul Jasmine Paul Jasmine Paul Jasmine Paul Jasmine Paul Jasmine Paul Jasmine Paul Jasmine Paul Jasmine Paul Jasmine Paul Jasmine Paul Jasmine Paul Jasmine Paul Jasmine

EBARA EBARA EBARA EBARA EBARA EBARA EBARA EBARA EBARA EBARA EBARA EBARA EBARA EBARA EBARA EBARA EBARA EBARA EBARA EBARA EBARA EBARA EBARA ELECTRO SCIENTIFIC INDUSTRIES ELECTRO SCIENTIFIC INDUSTRIES ELECTRO SCIENTIFIC INDUSTRIES ELECTRO SCIENTIFIC INDUSTRIES ELECTRO SCIENTIFIC INDUSTRIES ELECTRO SCIENTIFIC INDUSTRIES ELECTRO SCIENTIFIC INDUSTRIES ELECTRO SCIENTIFIC INDUSTRIES ELECTRO SCIENTIFIC INDUSTRIES ELECTRO SCIENTIFIC INDUSTRIES ELECTRO SCIENTIFIC INDUSTRIES ELECTRO SCIENTIFIC INDUSTRIES ELECTRO SCIENTIFIC INDUSTRIES ELECTRO SCIENTIFIC INDUSTRIES ELECTRO SCIENTIFIC INDUSTRIES ELECTRO SCIENTIFIC INDUSTRIES ELECTRO SCIENTIFIC INDUSTRIES

Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie

Paul Jasmine Paul Jasmine Paul Jasmine Paul Jasmine Paul Jasmine Paul Jasmine Paul Jasmine Paul Jasmine Paul Jasmine Paul Jasmine Paul Jasmine Paul Jasmine Paul Jasmine Paul Jasmine Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Paul Jasmine Matt Hayes Matt Hayes Matt Hayes Matt Hayes

ELECTRO SCIENTIFIC INDUSTRIES ELECTRO SCIENTIFIC INDUSTRIES ELECTRO SCIENTIFIC INDUSTRIES ELECTRO SCIENTIFIC INDUSTRIES ELECTRO SCIENTIFIC INDUSTRIES ELECTRO SCIENTIFIC INDUSTRIES ELECTRO SCIENTIFIC INDUSTRIES ELECTRO SCIENTIFIC INDUSTRIES EMPIRE ABRASIVE EQUIPMENT COMPANY EMPIRE ABRASIVE EQUIPMENT COMPANY FEI COMPANY FILTRINE FILTRINE FILTRINE GASONICS INTERNATIONAL GASONICS INTERNATIONAL GASONICS INTERNATIONAL GASONICS INTERNATIONAL GASONICS INTERNATIONAL GASONICS INTERNATIONAL GASONICS INTERNATIONAL GASONICS INTERNATIONAL GASONICS INTERNATIONAL GASONICS INTERNATIONAL GASONICS INTERNATIONAL GASONICS INTERNATIONAL GASONICS INTERNATIONAL GASONICS INTERNATIONAL GASONICS INTERNATIONAL HITACHI (SEMICONDUCTOR) HITACHI (SEMICONDUCTOR) HITACHI (SEMICONDUCTOR) HITACHI (SEMICONDUCTOR) HITACHI (SEMICONDUCTOR) HITACHI (SEMICONDUCTOR) HITACHI HIGH TECHNOLOGIES JEOL JEOL KLA-TENCOR CORP. KLA-TENCOR CORP.

Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie

Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Bryan Lease Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes

KLA-TENCOR CORP. KLA-TENCOR CORP. KLA-TENCOR CORP. KLA-TENCOR CORP. KLA-TENCOR CORP. KLA-TENCOR CORP. KLA-TENCOR CORP. KLA-TENCOR CORP. KLA-TENCOR CORP. KLA-TENCOR CORP. KLA-TENCOR CORP. KLA-TENCOR CORP. KLA-TENCOR CORP. KLA-TENCOR CORP. KLA-TENCOR CORP. KLA-TENCOR CORP. KLA-TENCOR CORP. KLA-TENCOR CORP. KLA-TENCOR CORP. KLA-TENCOR CORP. KLA-TENCOR CORP. KLA-TENCOR CORP. KLA-TENCOR CORP. KLA-TENCOR CORP. KLA-TENCOR CORP. KLA-TENCOR CORP. KOJAIR KOYO LINDBERG LIMITED KOYO LINDBERG LIMITED KOYO LINDBERG LIMITED KOYO LINDBERG LIMITED KOYO LINDBERG LIMITED KOYO LINDBERG LIMITED KOYO LINDBERG LIMITED KOYO LINDBERG LIMITED LAM RESEARCH LAM RESEARCH LAM RESEARCH LAM RESEARCH LAM RESEARCH

Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie

Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Paul Jasmine Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Bryan Lease Bryan Lease Matt Hayes

LAM RESEARCH LAM RESEARCH LAM RESEARCH LAM RESEARCH LAM RESEARCH LAM RESEARCH LAM RESEARCH LAM RESEARCH LASER TECH LASERTEC CORPORATION LASERTEC CORPORATION LECROY LEICA LEICA INC. LEICA INC. LEICA INC. LEICA INC. LEICA INC. LEICA INC. MATTSON TECHNOLOGY, INC. MATTSON TECHNOLOGY, INC. MATTSON TECHNOLOGY, INC. MATTSON TECHNOLOGY, INC. MATTSON TECHNOLOGY, INC. MATTSON TECHNOLOGY, INC. MATTSON TECHNOLOGY, INC. MATTSON TECHNOLOGY, INC. MATTSON TECHNOLOGY, INC. MATTSON TECHNOLOGY, INC. MATTSON TECHNOLOGY, INC. MATTSON TECHNOLOGY, INC. MATTSON TECHNOLOGY, INC. MATTSON TECHNOLOGY, INC. MATTSON TECHNOLOGY, INC. MATTSON TECHNOLOGY, INC. MATTSON TECHNOLOGY, INC. MATTSON TECHNOLOGY, INC. MATTSON TECHNOLOGY, INC. MKS INSTRUMENTS INC. NICOLET

Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie

Matt Hayes Matt Hayes Bryan Lease Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Bryan Lease Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Paul Jasmine Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Paul Jasmine Matt Hayes Paul Jasmine Paul Jasmine Paul Jasmine Paul Jasmine Paul Jasmine Paul Jasmine Paul Jasmine Paul Jasmine

NISSIN ELECTRIC CO., LTD. NISSIN ELECTRIC CO., LTD. NOVELLUS SYSTEMS INC. NOVELLUS SYSTEMS INC. NOVELLUS SYSTEMS INC. NOVELLUS SYSTEMS INC. NOVELLUS SYSTEMS INC. NOVELLUS SYSTEMS INC. NOVELLUS SYSTEMS INC. NOVELLUS SYSTEMS INC. NOVELLUS SYSTEMS INC. NOVELLUS SYSTEMS INC. NOVELLUS SYSTEMS INC. NOVELLUS SYSTEMS INC. NOVELLUS SYSTEMS INC. NOVELLUS SYSTEMS INC. NOVELLUS SYSTEMS INC. NOVELLUS SYSTEMS INC. NOVELLUS SYSTEMS INC. ONTRAK SYSTEMS, INC. OPTIK ELEKTRONIK GERTETECHNIK (OEG) P.S.K. TECH INC. PANASONIC FACTORY SOLUTIONS CO., LTD. PERKIN ELMER POLY-FLOW ENGINEERING POLY-FLOW ENGINEERING QIMONDA QIMONDA QIMONDA RAYTEX CORPORATION RECIF TECHNOLOGIES RIGAKU ROFIN / AB LASERS ROSS ROSS ROSS ROSS ROSS ROSS ROSS

Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie

Matt Hayes Matt Hayes Matt Hayes Paul Jasmine Paul Jasmine Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Paul Jasmine Matt Hayes Matt Hayes Tedd Shafer Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Paul Jasmine Paul Jasmine Paul Jasmine Paul Jasmine Paul Jasmine

RUDOLPH TECHNOLOGIES, INC. RUDOLPH TECHNOLOGIES, INC. SEMICONDUCTOR DIAGNOSTICS, INC. SEMICONDUCTOR DIAGNOSTICS, INC. SEMICONDUCTOR DIAGNOSTICS, INC. SEMITOOL INC. SEMITOOL INC. SEMITOOL INC. SEMITOOL INC. SEMITOOL INC. SEMITOOL INC. SEZ GROUP SEZ GROUP SEZ GROUP SIEMENS AG SMC SMC SOKUDO CO., LTD. SOLID STATE EQUIPMENT CORPORATION SOLID STATE EQUIPMENT CORPORATION, INC STEAG INDUSTRIE AG STEAG INDUSTRIE AG STEAG INDUSTRIE AG STEAG INDUSTRIE AG STEAG INDUSTRIE AG STEAG INDUSTRIE AG STEAG INDUSTRIE AG STEAG INDUSTRIE AG STEAG INDUSTRIE AG STEAG INDUSTRIE AG STEAG INDUSTRIE AG STEAG INDUSTRIE AG STEAG INDUSTRIE AG STEAG INDUSTRIE AG SUMITOMO PRECISION PRODUCTS CO., LTD. SYNAX SYNAX SYNAX TERADYNE, INC. TERADYNE, INC.

Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie

Paul Jasmine Paul Jasmine Paul Jasmine Paul Jasmine Paul Jasmine Paul Jasmine Paul Jasmine Paul Jasmine Paul Jasmine Paul Jasmine Paul Jasmine Paul Jasmine Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes

TERADYNE, INC. TERADYNE, INC. TERADYNE, INC. TERADYNE, INC. TERADYNE, INC. TERADYNE, INC. TERADYNE, INC. TERADYNE, INC. TERADYNE, INC. TERADYNE, INC. TERADYNE, INC. TERADYNE, INC. THERMA-WAVE INC. THERMA-WAVE INC. THERMA-WAVE INC. THERMA-WAVE INC. THERMA-WAVE INC. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD.

Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie

Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Ted Shafer Ted Shafer Ted Shafer Ted Shafer Bryan Lease Bryan Lease Bryan Lease Ted Shafer Ted Shafer Ted Shafer Ted Shafer Ted Shafer Ted Shafer Ted Shafer Ted Shafer Ted Shafer Ted Shafer Ted Shafer Ted Shafer Ted Shafer Ted Shafer Ted Shafer Ted Shafer Ted Shafer

TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD.

Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie

Ted Shafer Ted Shafer Ted Shafer Ted Shafer Ted Shafer Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Matt Hayes Paul Jasmine Paul Jasmine Paul Jasmine Paul Jasmine Paul Jasmine Paul Jasmine Paul Jasmine

TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. TOKYO ELECTRON LTD. VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES VEECO INSTRUMENTS INC. VEECO INSTRUMENTS INC. VEECO INSTRUMENTS INC. VEECO INSTRUMENTS INC. VERIGY (AGILENT) VERIGY (AGILENT) VERIGY (AGILENT) VERIGY (AGILENT) VERIGY (AGILENT) VERIGY (AGILENT) VERIGY (AGILENT) VERIGY (AGILENT)

Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie Macquarie

Paul Jasmine Paul Jasmine Paul Jasmine Paul Jasmine Paul Jasmine Paul Jasmine Paul Jasmine Paul Jasmine Paul Jasmine Paul Jasmine

VERIGY (AGILENT) VERIGY (AGILENT) VERIGY (AGILENT) VERIGY (AGILENT) VERIGY (AGILENT) VERIGY (AGILENT) VERIGY (AGILENT) VERIGY (AGILENT) VERIGY (AGILENT) VERIGY (AGILENT)

Model UF3000 WIN-WIN 50-1600 AFS-3220 IR3000 IR3000 IR3000 IR3100 MTX-FP+ MTX-FP+ CENTURA 4.0 EMAX CENTURA 4.0 EMAX CENTURA 4.0 EMAX CENTURA 4.0 POLYCIDE CENTURA 4.0 POLYCIDE CENTURA 4.0 POLYCIDE CENTURA 4.0 POLYCIDE CENTURA 5200 DXZ CENTURA 5200 DXZ CENTURA 5200 DXZ CENTURA 5200 EMXP+ CENTURA 5200 EMXP+ CENTURA 5200 EMXP+ CENTURA 5200 MXP CENTURA ACP RP EPI CENTURA AP DPS II POLYSILICON CENTURA AP DPS II POLYSILICON CENTURA AP DPS II POLYSILICON CENTURA AP DPS II POLYSILICON CENTURA AP DPS II POLYSILICON CENTURA AP EMAX CT CENTURA AP EMAX CT CENTURA AP EMAX CT CENTURA AP EMAX CT CENTURA AP EMAX CT CENTURA AP EMAX CT CENTURA AP EMAX CT CENTURA AP EMAX CT CENTURA AP EMAX CT CENTURA AP EMAX CT

Process / Equipment Type PRODUCTION WAFER PROBER BRIGHTFIELD INSPECTION WAFER CHARACTERIZATION FT-IR FT-IR FT-IR FT-IR BURN-IN TESTER BURN-IN TESTER DIELECTRIC ETCH DIELECTRIC ETCH DIELECTRIC ETCH LPCVD LPCVD LPCVD LPCVD SACVD (CHEMICAL VAPOR DEPOSITION) TEOS TEOS DIELECTRIC ETCH DIELECTRIC ETCH DIELECTRIC ETCH DIELECTRIC ETCH EPITAXIAL SILICON (EPI) POLYSILICON ETCH POLYSILICON ETCH POLYSILICON ETCH POLYSILICON ETCH POLYSILICON ETCH DIELECTRIC ETCH DIELECTRIC ETCH DIELECTRIC ETCH DIELECTRIC ETCH DIELECTRIC ETCH DIELECTRIC ETCH DIELECTRIC ETCH DIELECTRIC ETCH DIELECTRIC ETCH DIELECTRIC ETCH

CENTURA AP EMAX CT CENTURA AP EMAX CT+ CENTURA AP HART III CENTURA AP HART III CENTURA AP HART III CENTURA AP HART III CENTURA AP HART III CENTURA AP ULTIMA X CENTURA AP ULTIMA X CENTURA AP ULTIMA X CENTURA DPS PLUS CENTURA DPS POLY R1 CENTURA DPS POLY R2 CENTURA II DPS POLY R1 CENTURA II DPS POLY R1 CENTURA II DPS+ POLY CENTURA II DPS+ POLY CENTURA II EMAX CENTURA II SUPER-E CENTURA SUPER-E CENTURA SUPER-E CENTURA SUPER-E CENTURA SUPER-E CENTURA SUPER-E CENTURA SUPER-E, MXP POLY CENTURA SUPER-E, MXP POLY CENTURA ULTIMA CENTURA ULTIMA CENTURA ULTIMA CENTURA ULTIMA CENTURA ULTIMA COMPASS PRO COMPASS PRO COMPLUS COMPLUS COMPLUS 2T COMPLUS MP COMPLUS MP ENDURA - ALD CHAMBER ENDURA 5500 COPPER BARRIER/SEED

DIELECTRIC ETCH DIELECTRIC ETCH TRENCH ETCH TRENCH ETCH TRENCH ETCH TRENCH ETCH TRENCH ETCH HDP CVD (CHEMICAL VAPOR DEPOSITION) HDP CVD (CHEMICAL VAPOR DEPOSITION) HDP CVD (CHEMICAL VAPOR DEPOSITION) POLYSILICON ETCH POLYSILICON ETCH POLYSILICON ETCH POLYSILICON ETCH POLYSILICON ETCH PARTS/CHAMBER MODULES POLYSILICON ETCH DIELECTRIC ETCH DIELECTRIC ETCH DIELECTRIC ETCH DIELECTRIC ETCH DIELECTRIC ETCH DIELECTRIC ETCH DIELECTRIC ETCH POLYSILICON ETCH POLYSILICON ETCH HDP CVD (CHEMICAL VAPOR DEPOSITION) HDP CVD (CHEMICAL VAPOR DEPOSITION) HDP CVD (CHEMICAL VAPOR DEPOSITION) HDP CVD (CHEMICAL VAPOR DEPOSITION) HDP CVD (CHEMICAL VAPOR DEPOSITION) DARKFIELD INSPECTION DARKFIELD INSPECTION DARKFIELD INSPECTION DARKFIELD INSPECTION DARKFIELD INSPECTION DARKFIELD INSPECTION DARKFIELD INSPECTION PVD (PHYSICAL VAPOR DEPOSITION) PVD (PHYSICAL VAPOR DEPOSITION)

ENDURA 5500 COPPER BARRIER/SEED PVD (PHYSICAL VAPOR DEPOSITION) ENDURA 5500 FRONT-END METALLIZATION PVD (PHYSICAL VAPOR DEPOSITION) ENDURA 5500 LINER/BARRIER PVD (PHYSICAL VAPOR DEPOSITION) MIRRA MULTI-PROCESS CMP NANOSEM 3D SEM - CRITICAL DIMENSION (CD) MEASUREMENT PRODUCER PECVD (CHEMICAL VAPOR DEPOSITION) PRODUCER APF PECVD (CHEMICAL VAPOR DEPOSITION) PRODUCER APF PECVD (CHEMICAL VAPOR DEPOSITION) QUANTUM X IMPLANT LOW/ULTRA LOW ENERGY IMPLANTER REFLEXION LK COPPER CMP SEMVISION CX SEM - DEFECT REVIEW (DR TXZ MOCVD TXZ MOCVD TXZ MOCVD VERITYSEM SEM - CRITICAL DIMENSION (CD) MEASUREMENT A412 VERTICAL ATMOSPHERIC FURNACE TWINSCAN AT:1200B 193NM (ARF) SCANNER TWINSCAN AT:1200D 193NM (ARF) SCANNER TWINSCAN XT:400F I-LINE SCANNER SHS 2800E STANDALONE RTP EQUIPMENT CELSIOR ALD (ATOMIC LAYER DEPOSITION) CELSIOR ALD (ATOMIC LAYER DEPOSITION) CELSIOR ALD (ATOMIC LAYER DEPOSITION) CELSIOR ALD (ATOMIC LAYER DEPOSITION) PANTHEON ALD (ATOMIC LAYER DEPOSITION) PANTHEON ALD (ATOMIC LAYER DEPOSITION) PANTHEON ALD (ATOMIC LAYER DEPOSITION) PANTHEON ALD (ATOMIC LAYER DEPOSITION) RVP-300 VERTICAL DIFFUSION FURNACE RVP-300 VERTICAL DIFFUSION FURNACE RVP-300 VERTICAL DIFFUSION FURNACE RVP-300 VERTICAL DIFFUSION FURNACE RVP-300 VERTICAL DIFFUSION FURNACE RVP-300 VERTICAL DIFFUSION FURNACE RVP-300 VERTICAL DIFFUSION FURNACE RVP-300 VERTICAL DIFFUSION FURNACE RVP-300 VERTICAL DIFFUSION FURNACE RVP-300 VERTICAL DIFFUSION FURNACE RVP-300 VERTICAL DIFFUSION FURNACE RVP-300 VERTICAL DIFFUSION FURNACE

RVP-300 RVP-300 RVP-300 RVP-300 RVP-300 RVP-300 RVP-300 RVP-300 RVP-300 RVP-300 RVP-300 RVP-300 RVP-300 RVP-300 RVP-300 RVP-300 RVP-300 RVP-300 RVP-300 RVP-300 RVP-300 RVP-300 RVP-300 RVP-300 RVP-300 RVP-300 RVP-300 RVP-300 RVP-300 RVP-300 RVP-300 RVP-300 RVP-300 RVP-300 RVP-300 RVP-300 COMPACT II GSD/200 GSD/200E2 HC3

VERTICAL DIFFUSION FURNACE VERTICAL DIFFUSION FURNACE VERTICAL DIFFUSION FURNACE VERTICAL DIFFUSION FURNACE VERTICAL DIFFUSION FURNACE VERTICAL DIFFUSION FURNACE VERTICAL DIFFUSION FURNACE VERTICAL DIFFUSION FURNACE VERTICAL DIFFUSION FURNACE VERTICAL DIFFUSION FURNACE VERTICAL DIFFUSION FURNACE VERTICAL DIFFUSION FURNACE VERTICAL DIFFUSION FURNACE VERTICAL DIFFUSION FURNACE VERTICAL DIFFUSION FURNACE VERTICAL DIFFUSION FURNACE VERTICAL DIFFUSION FURNACE VERTICAL DIFFUSION FURNACE VERTICAL DIFFUSION FURNACE VERTICAL DIFFUSION FURNACE VERTICAL DIFFUSION FURNACE VERTICAL DIFFUSION FURNACE VERTICAL DIFFUSION FURNACE VERTICAL DIFFUSION FURNACE VERTICAL DIFFUSION FURNACE VERTICAL DIFFUSION FURNACE VERTICAL DIFFUSION FURNACE VERTICAL DIFFUSION FURNACE VERTICAL DIFFUSION FURNACE VERTICAL DIFFUSION FURNACE VERTICAL DIFFUSION FURNACE VERTICAL DIFFUSION FURNACE VERTICAL DIFFUSION FURNACE VERTICAL DIFFUSION FURNACE VERTICAL DIFFUSION FURNACE VERTICAL DIFFUSION FURNACE VERTICAL FURNACE - OTHER HIGH CURRENT IMPLANTER HIGH CURRENT IMPLANTER HIGH CURRENT IMPLANTER

HC3 HC3 HC3 HC3 ISIS 1100 GUARDIAN JENOPTIK SSM SORTER OMCS200 OMCS200 OMCS200 OMCS200 AXIOTRON UV FULL-FLOW 8100 RESMAP 463-FOUP FC-3100 MP-2000 SS-3000 SS-W80A-A SS-W80A-A A10S A10S A10S A10S A10S A10S A10S A10S A10S A10S A10S A10S A10S A10S A10S A10S A10S A10S A10S A10S A10S

HIGH CURRENT IMPLANTER HIGH CURRENT IMPLANTER HIGH CURRENT IMPLANTER HIGH CURRENT IMPLANTER SLURRY DISPENSE SYSTEM RETICLE STOCKER WAFER SORTER SMIF SMIF SMIF SMIF MICROSCOPE BATCH WAFER PROCESSING RESISTIVITY MEASUREMENT BATCH WAFER PROCESSING SINGLE WAFER PROCESSING WAFER SCRUBBER WAFER SCRUBBER WAFER SCRUBBER DRY PUMP DRY PUMP DRY PUMP DRY PUMP DRY PUMP DRY PUMP DRY PUMP DRY PUMP DRY PUMP DRY PUMP DRY PUMP DRY PUMP DRY PUMP DRY PUMP DRY PUMP DRY PUMP DRY PUMP DRY PUMP DRY PUMP DRY PUMP DRY PUMP

A10S A10S A10S A10S A10S A10S A10S A10S A150W-M A150W-M A150W-M A150W-M A150W-M A150W-M A150W-M A150W-M A150W-M A150W-M A150W-T A150W-T A150W-T A150W-T A150W-T A30W A30W A30W A30W A30W A30W A30W A30W A30W A30W A30W A30W A30W A30W A30W A30W A30W

DRY PUMP DRY PUMP DRY PUMP DRY PUMP DRY PUMP DRY PUMP DRY PUMP DRY PUMP DRY PUMP DRY PUMP DRY PUMP DRY PUMP DRY PUMP DRY PUMP DRY PUMP DRY PUMP DRY PUMP DRY PUMP DRY PUMP DRY PUMP DRY PUMP DRY PUMP DRY PUMP DRY PUMP DRY PUMP DRY PUMP DRY PUMP DRY PUMP DRY PUMP DRY PUMP DRY PUMP DRY PUMP DRY PUMP DRY PUMP DRY PUMP DRY PUMP DRY PUMP DRY PUMP DRY PUMP DRY PUMP

A30W A30W A30W A30W A30W A30W A30W A30W FREX300 FREX300 FREX300 FREX300 FREX300 FREX300 FREX300 FREX300 FREX301 FREX302 FREX300S FREX300S FREX300S FREX300S FREX300S 9350 9350 9830 9830 9850UV 9850UV UV9835 UV9835 UV9835 UV9835 UV9835 UV9835 UV9835 UV9835 UV9835 UV9835 UV9835

DRY PUMP DRY PUMP DRY PUMP DRY PUMP DRY PUMP DRY PUMP DRY PUMP DRY PUMP POLY/STI CMP POLY/STI CMP POLY/STI CMP POLY/STI CMP POLY/STI CMP POLY/STI CMP POLY/STI CMP POLY/STI CMP POLY/STI CMP POLY/STI CMP POLY/STI CMP POLY/STI CMP POLY/STI CMP POLY/STI CMP POLY/STI CMP LASER REPAIR SYSTEM LASER REPAIR SYSTEM LASER REPAIR SYSTEM LASER REPAIR SYSTEM LASER REPAIR SYSTEM LASER REPAIR SYSTEM LASER REPAIR SYSTEM LASER REPAIR SYSTEM LASER REPAIR SYSTEM LASER REPAIR SYSTEM LASER REPAIR SYSTEM LASER REPAIR SYSTEM LASER REPAIR SYSTEM LASER REPAIR SYSTEM LASER REPAIR SYSTEM LASER REPAIR SYSTEM LASER REPAIR SYSTEM

UV9835 UV9835 UV9835 UV9835 UV9835 UV9835 UV9835 UV9835 PROFORMER SAFESTRIP MICRION 2500 POC-500W POC-500W POC-500W PEP 3510 PLUS PEP 3510 PLUS PEP 3510 PLUS PEP 3510A PEP 3510A PEP 3510A PEP 3510A PEP 3510A PEP 3510A PEP 3510A PEP 3510A PEP 3510A PEP 3510A PEP 3510A PEP 3510C HITACHI DATA STATION PD-3000 RS-4000 S-9200 S-9220 Z-8270 GXH-1S JWS-7505 JWS-8755S 2138 2138XP

LASER REPAIR SYSTEM LASER REPAIR SYSTEM LASER REPAIR SYSTEM LASER REPAIR SYSTEM LASER REPAIR SYSTEM LASER REPAIR SYSTEM LASER REPAIR SYSTEM LASER REPAIR SYSTEM PARTS CLEANER/DRYER PARTS CLEANER/DRYER FOCUSED ION BEAM SYSTEM CHILLER/HEAT EXCHANGER CHILLER/HEAT EXCHANGER CHILLER/HEAT EXCHANGER STRIPPER/ASHER STRIPPER/ASHER STRIPPER/ASHER STRIPPER/ASHER STRIPPER/ASHER STRIPPER/ASHER STRIPPER/ASHER STRIPPER/ASHER STRIPPER/ASHER STRIPPER/ASHER STRIPPER/ASHER STRIPPER/ASHER STRIPPER/ASHER STRIPPER/ASHER STRIPPER/ASHER SEM - CRITICAL DIMENSION (CD) MEASUREMENT RETICLE/MASK CONTAMINATION INSPECTION SYSTEM SEM - DEFECT REVIEW (DR) SEM - CRITICAL DIMENSION (CD) MEASUREMENT SEM - CRITICAL DIMENSION (CD) MEASUREMENT SPECTROMETRY PLACEMENT SYSTEM SEM - DEFECT REVIEW (DR) SEM - DEFECT REVIEW (DR) BRIGHTFIELD INSPECTION BRIGHTFIELD INSPECTION

AIT XP AIT XP AIT XP AIT XP+ AIT XP+ AIT XP+ AIT XUV AITFUSION XUV ARCHER 10 EV300 EV300 FLX-5400 FLX-5500 PROMETRIX UV-1070 PROMETRIX UV-1280SE PUMA 9000D SURFSCAN 6420 SURFSCAN 6420 SURFSCAN 6420 SURFSCAN AIT SURFSCAN SP1 SURFSCAN SP2 SURFSCAN SP3 TERASCAN SL526 VIPER 2410 VIPER 2410 CLEAN ACE VF-5300 VF-5300 VF-5300 VF-5300 VF-5300 VF-5300 VF-5300 VF-5300 2300 EXELAN FLEX 2301 EXELAN FLEX 2302 EXELAN FLEX 2300 VERSYS 2300 VERSYS

DARKFIELD INSPECTION DARKFIELD INSPECTION DARKFIELD INSPECTION DARKFIELD INSPECTION DARKFIELD INSPECTION DARKFIELD INSPECTION DARKFIELD INSPECTION DARKFIELD INSPECTION OVERLAY MEASUREMENT SYSTEM SEM - DEFECT REVIEW (DR) SEM - DEFECT REVIEW (DR) STRESS MEASUREMENT STRESS MEASUREMENT FILM THICKNESS MEASUREMENT SYSTEM FILM THICKNESS MEASUREMENT SYSTEM DARKFIELD INSPECTION PARTICLE MEASUREMENT PARTICLE MEASUREMENT PARTICLE MEASUREMENT DARKFIELD INSPECTION PARTICLE MEASUREMENT PARTICLE MEASUREMENT PARTICLE MEASUREMENT RETICLE/MASK DEFECT INSPECTION SYSTEM MACRO-DEFECT MACRO-DEFECT SUPPORT EQUIPMENT VERTICAL DIFFUSION FURNACE VERTICAL DIFFUSION FURNACE VERTICAL DIFFUSION FURNACE VERTICAL DIFFUSION FURNACE VERTICAL DIFFUSION FURNACE VERTICAL DIFFUSION FURNACE VERTICAL DIFFUSION FURNACE VERTICAL DIFFUSION FURNACE DIELECTRIC ETCH DIELECTRIC ETCH DIELECTRIC ETCH METAL ETCH POLYSILICON ETCH

2300 VERSYS KIYO ALLIANCE (A6) EXELAN HPT ALLIANCE (A6) TCP 9400DFM ALLIANCE (A6) TCP 9400PTX ALLIANCE (A6) TCP 9400PTX TCP 9600 TCP 9600 TCP 9600CFE PG10 RC-2000 RC-2001 SDA 9000 RES101 INM 200 INM 200 LDS3000M LDS3000M LDS3000M LDS3000M 2800 2800 2800 2800 2900 2900 2900 2900 3000 3000 3000 3000 3000 3000 3000 3000 3000 3000 HELIOS HPQ 2 - HIGH PRESSURE QUADRAPOLE ECO 3000

POLYSILICON ETCH DIELECTRIC ETCH POLYSILICON ETCH POLYSILICON ETCH POLYSILICON ETCH METAL ETCH METAL ETCH METAL ETCH RETICLE/MASK CONTAMINATION INSPECTION SYSTEM RETICLE/MASK CONTAMINATION INSPECTION SYSTEM RETICLE/MASK CONTAMINATION INSPECTION SYSTEM OSCILLOSCOPE SPECIMEN PREPARATION MICROSCOPE MICROSCOPE MACRO-DEFECT MACRO-DEFECT MACRO-DEFECT MACRO-DEFECT STANDALONE RTP EQUIPMENT STANDALONE RTP EQUIPMENT STANDALONE RTP EQUIPMENT STANDALONE RTP EQUIPMENT STANDALONE RTP EQUIPMENT STANDALONE RTP EQUIPMENT STANDALONE RTP EQUIPMENT STANDALONE RTP EQUIPMENT STANDALONE RTP EQUIPMENT STANDALONE RTP EQUIPMENT STANDALONE RTP EQUIPMENT STANDALONE RTP EQUIPMENT STANDALONE RTP EQUIPMENT STANDALONE RTP EQUIPMENT STANDALONE RTP EQUIPMENT STANDALONE RTP EQUIPMENT STANDALONE RTP EQUIPMENT STANDALONE RTP EQUIPMENT STANDALONE RTP EQUIPMENT PARTS/PERIPHERALS FT-IR

EXCEED 2000 EXCEED 2000 CONCEPT ONE-200 CONCEPT THREE SPEED CONCEPT THREE SPEED CONCEPT THREE SPEED CONCEPT THREE SPEED CONCEPT THREE SPEED CONCEPT THREE SPEED CONCEPT THREE SPEED CONCEPT TWO-DUAL ALTUS CONCEPT TWO-DUAL ALTUS CONCEPT TWO-DUAL ALTUS CONCEPT TWO-DUAL ALTUS-S CONCEPT TWO-DUAL ALTUS-S CONCEPT TWO-DUAL SPEED CONCEPT TWO-DUAL SPEED INOVA NEXT INOVA NEXT DSS-200 SERIES II SURFTENS ULTIMA III ST40S-20 SIMAA 6100 S-450 TYPHOON S-790 CLEAN ROOM PARTS CABINET MISC PARTS - ETCH MONITOR STAND EDGESCAN SFT200A04 MFM65 STARMARK LME SERIES DS-1 DS-2 DS-4 LDM-1 LDM-4 LDM-4 LDM-4

MID CURRENT IMPLANTER MID CURRENT IMPLANTER WCVD (CHEMICAL VAPOR DEPOSITION) HDP CVD (CHEMICAL VAPOR DEPOSITION) HDP CVD (CHEMICAL VAPOR DEPOSITION) HDP CVD (CHEMICAL VAPOR DEPOSITION) HDP CVD (CHEMICAL VAPOR DEPOSITION) HDP CVD (CHEMICAL VAPOR DEPOSITION) HDP CVD (CHEMICAL VAPOR DEPOSITION) HDP CVD (CHEMICAL VAPOR DEPOSITION) WCVD (CHEMICAL VAPOR DEPOSITION) WCVD (CHEMICAL VAPOR DEPOSITION) WCVD (CHEMICAL VAPOR DEPOSITION) WCVD (CHEMICAL VAPOR DEPOSITION) WCVD (CHEMICAL VAPOR DEPOSITION) WCVD (CHEMICAL VAPOR DEPOSITION) WCVD (CHEMICAL VAPOR DEPOSITION) PVD (PHYSICAL VAPOR DEPOSITION) PVD (PHYSICAL VAPOR DEPOSITION) WAFER SCRUBBER - POST CMP SURFACE ANALYZER DIELECTRIC ETCH PARTS/PERIPHERALS SPECTROMETRY QUARTZ TUBE CLEANER QUARTZ TUBE CLEANER CLEAN-ROOM PARTS CABINET MISC PARTS MONITOR STAND EDGE DEFECT WAFER SORTER X-RAY INSPECTION SYSTEM LASER MARKER DISCHARGE RAM DISPENSER DISCHARGE RAM DISPENSER DISCHARGE RAM DISPENSER PLANETARY MIXER PLANETARY MIXER PLANETARY MIXER PLANETARY MIXER

METAPULSE 200X CU FILM THICKNESS MEASUREMENT SYSTEM METAPULSE 300 FILM THICKNESS MEASUREMENT SYSTEM FAAST-350 WAFER INSPECTION EQUIPMENT TR-78 LEAD INSPECTION EQUIPMENT TR-78 LEAD INSPECTION EQUIPMENT MAGNUM BATCH WAFER PROCESSING MAGNUM BATCH WAFER PROCESSING RAIDER ECD312 ECD (ELECTRO CHEMICAL DEPOSITION) RAIDER SP310 SINGLE WAFER PROCESSING RAIDER SP310 SINGLE WAFER PROCESSING RAIDER SP310 SINGLE WAFER PROCESSING 323 SINGLE WAFER PROCESSING 323 SINGLE WAFER PROCESSING 323 SINGLE WAFER PROCESSING SIPLACE X4 PLACEMENT SYSTEM INR-341-56A CHILLER/HEAT EXCHANGER INR-341-60A-X20 CHILLER/HEAT EXCHANGER RF3 (RF CUBE) MULTI BLOCK (RESIST COATER/DEVELOPER) SSM 470I ELECTRICAL CHARACTERIZATION SSM 6100 RESISTIVITY MEASUREMENT AWP BATCH WAFER PROCESSING AWP BATCH WAFER PROCESSING AWP BATCH WAFER PROCESSING AWP BATCH WAFER PROCESSING AWP BATCH WAFER PROCESSING AWP BATCH WAFER PROCESSING AWP BATCH WAFER PROCESSING AWP BATCH WAFER PROCESSING AWP BATCH WAFER PROCESSING AWP BATCH WAFER PROCESSING AWP BATCH WAFER PROCESSING AWP BATCH WAFER PROCESSING AWP BATCH WAFER PROCESSING AWP BATCH WAFER PROCESSING KC-200A CARRIER CLEANER SX3100 PICK & PLACE SOC HANDLER SX3100 PICK & PLACE SOC HANDLER SX3100 PICK & PLACE SOC HANDLER CATALYST - KIT, AWG400A (MF + TH) PARTS/OPTIONS CATALYST - PCB, UWMM, HIGH SELECTIVITY PARTS/OPTIONS

CATALYST - UWAVE6000 MOD SRC PARTS/OPTIONS CATALYST - VHFCW SRC (MF + TH) PARTS/OPTIONS J750 - PROBE INTERFACE BOARD (PIB) PARTS/PERIPHERALS J750 - PROBER DOCKING HW INTERFACEPARTS/PERIPHERALS J750 - PROBER DOCKING HW INTERFACEPARTS/PERIPHERALS J750 - PROBER DOCKING HW INTERFACEPARTS/PERIPHERALS J750 - PROBER DOCKING HW INTERFACEPARTS/PERIPHERALS J750 - PROBER DOCKING HW INTERFACEPARTS/PERIPHERALS J750 - PROBER DOCKING HW INTERFACEPARTS/PERIPHERALS J750 - PROBER DOCKING HW INTERFACEPARTS/PERIPHERALS ULTRAFLEX - POGO TOWER (1620 PIN) PARTS/OPTIONS ULTRAFLEX - POGO TOWER (1620 PIN) PARTS/OPTIONS OPTI-PROBE 3260 FILM THICKNESS MEASUREMENT SYSTEM OPTI-PROBE 3260 DUV FILM THICKNESS MEASUREMENT SYSTEM OPTI-PROBE 3260 DUV FILM THICKNESS MEASUREMENT SYSTEM OPTI-PROBE 7341 FILM THICKNESS MEASUREMENT SYSTEM THERMA-PROBE 500 IMPLANT DOSING MEASUREMENT ALPHA-303I VERTICAL DIFFUSION FURNACE ALPHA-303I VERTICAL DIFFUSION FURNACE ALPHA-808 VERTICAL DIFFUSION FURNACE ALPHA-808 VERTICAL DIFFUSION FURNACE ALPHA-858D VERTICAL ANNEAL FURNACE ALPHA-8S VERTICAL DIFFUSION FURNACE ALPHA-8S VERTICAL DIFFUSION FURNACE ALPHA-8S VERTICAL NITRIDE FURNACE ALPHA-8S VERTICAL NITRIDE FURNACE ALPHA-8S VERTICAL NITRIDE FURNACE ALPHA-8S VERTICAL NITRIDE FURNACE ALPHA-8S VERTICAL NITRIDE FURNACE ALPHA-8S VERTICAL NITRIDE FURNACE ALPHA-8S VERTICAL NITRIDE FURNACE ALPHA-8S VERTICAL NITRIDE FURNACE ALPHA-8S VERTICAL NITRIDE FURNACE ALPHA-8S VERTICAL NITRIDE FURNACE ALPHA-8S VERTICAL NITRIDE FURNACE ALPHA-8S VERTICAL NITRIDE FURNACE ALPHA-8S VERTICAL NITRIDE FURNACE ALPHA-8S VERTICAL NITRIDE FURNACE ALPHA-8S VERTICAL POLY FURNACE ALPHA-8S VERTICAL POLY FURNACE

ALPHA-8S ALPHA-8S ALPHA-8S ALPHA-8S ALPHA-8S ALPHA-8S ALPHA-8S ALPHA-8S-Z ALPHA-8SE ALPHA-8SE ALPHA-8SE ALPHA-8SE ALPHA-8SE ALPHA-8SE ALPHA-8SE ALPHA-8SE CLEAN TRACK ACT 8 CLEAN TRACK ACT 8 CLEAN TRACK ACT 8 CLEAN TRACK ACT 8 CLEAN TRACK LITHIUS CLEAN TRACK LITHIUS CLEAN TRACK LITHIUS CLEAN TRACK MARK 8 CLEAN TRACK MARK 8 CLEAN TRACK MARK 8 CLEAN TRACK MARK 8 CLEAN TRACK MARK 8 CLEAN TRACK MARK 8 CLEAN TRACK MARK 8 CLEAN TRACK MARK 8 CLEAN TRACK MARK 8 CLEAN TRACK MARK 8 CLEAN TRACK MARK 8 CLEAN TRACK MARK 8 CLEAN TRACK MARK 8 CLEAN TRACK MARK 8 CLEAN TRACK MARK 8 CLEAN TRACK MARK 8 CLEAN TRACK MARK 8

VERTICAL POLY FURNACE VERTICAL POLY FURNACE VERTICAL POLY FURNACE VERTICAL POLY FURNACE VERTICAL POLY FURNACE VERTICAL TEOS FURNACE VERTICAL TEOS FURNACE VERTICAL DIFFUSION FURNACE VERTICAL DIFFUSION FURNACE VERTICAL DIFFUSION FURNACE VERTICAL DIFFUSION FURNACE VERTICAL DIFFUSION FURNACE VERTICAL DIFFUSION FURNACE VERTICAL DIFFUSION FURNACE VERTICAL LPCVD FURNACE VERTICAL LPCVD FURNACE MULTI BLOCK (RESIST COATER/DEVELOPER) MULTI BLOCK (RESIST COATER/DEVELOPER) MULTI BLOCK (RESIST COATER/DEVELOPER) MULTI BLOCK (RESIST COATER/DEVELOPER) MULTI BLOCK (RESIST COATER/DEVELOPER) MULTI BLOCK (RESIST COATER/DEVELOPER) MULTI BLOCK (RESIST COATER/DEVELOPER) SINGLE BLOCK (RESIST COATER/DEVELOPER SINGLE BLOCK (RESIST COATER/DEVELOPER SINGLE BLOCK (RESIST COATER/DEVELOPER SINGLE BLOCK (RESIST COATER/DEVELOPER SINGLE BLOCK (RESIST COATER/DEVELOPER SINGLE BLOCK (RESIST COATER/DEVELOPER SINGLE BLOCK (RESIST COATER/DEVELOPER SINGLE BLOCK (RESIST COATER/DEVELOPER SINGLE BLOCK (RESIST COATER/DEVELOPER SINGLE BLOCK (RESIST COATER/DEVELOPER SINGLE BLOCK (RESIST COATER/DEVELOPER SINGLE BLOCK (RESIST COATER/DEVELOPER SINGLE BLOCK (RESIST COATER/DEVELOPER SINGLE BLOCK (RESIST COATER/DEVELOPER SINGLE BLOCK (RESIST COATER/DEVELOPER SINGLE BLOCK (RESIST COATER/DEVELOPER SINGLE BLOCK (RESIST COATER/DEVELOPER

CLEAN TRACK MARK 8 SINGLE BLOCK (RESIST COATER/DEVELOPER CLEAN TRACK MARK 8 SINGLE BLOCK (RESIST COATER/DEVELOPER CLEAN TRACK MARK 8 SINGLE BLOCK (RESIST COATER/DEVELOPER CLEAN TRACK MARK 9 SINGLE BLOCK (RESIST COATER/DEVELOPER CLEAN TRACK MARK 10 SINGLE BLOCK (RESIST COATER/DEVELOPER EXPEDIUS BATCH WAFER PROCESSING EXPEDIUS BATCH WAFER PROCESSING EXPEDIUS BATCH WAFER PROCESSING EXPEDIUS BATCH WAFER PROCESSING NS 300 WAFER SCRUBBER TELIUS SP-305 SCCM DIELECTRIC ETCH TELIUS SP-305 SCCM DIELECTRIC ETCH TRIAS TANDEM METAL CVD LINER METAL CVD (CHEMICAL VAPOR DEPOSITION UNITY II 85 DD DIELECTRIC ETCH UNITY II 85 DD DIELECTRIC ETCH UNITY II E 855DD DIELECTRIC ETCH UNITY II E 85 DD DIELECTRIC ETCH UNITY II E 85 DD DIELECTRIC ETCH UNITY II E 85 QD DIELECTRIC ETCH UNITY ME 85 TD DIELECTRIC ETCH UW300Z BATCH WAFER PROCESSING UW300Z BATCH WAFER PROCESSING UW300Z BATCH WAFER PROCESSING UW300Z BATCH WAFER PROCESSING VIISTA 80 HIGH CURRENT IMPLANTER VIISTA 80HP HIGH CURRENT IMPLANTER VIISTA 80HP HIGH CURRENT IMPLANTER VIISTA 80HP HIGH CURRENT IMPLANTER DIMENSION VX 310 ATOMIC FORCE PROFILER (AFP) DIMENSION VX 330 ATOMIC FORCE PROFILER (AFP) DIMENSION VX 330 ATOMIC FORCE PROFILER (AFP) DIMENSION X1D ATOMIC FORCE MICROSCOPE (AFM) 83000 VLSI TESTER AUDIO/VIDEO 8 ANALOG CARD (AV8 / MCA) PARTS/OPTIONS AUDIO/VIDEO 8 ANALOG CARD (AV8 / MCA) PARTS/OPTIONS AUDIO/VIDEO 8 ANALOG CARD (AV8 / MCA) PARTS/OPTIONS AUDIO/VIDEO 8 ANALOG CARD (AV8 / MCA) PARTS/OPTIONS AUDIO/VIDEO 8 ANALOG CARD (AV8 / MCA) PARTS/OPTIONS AUDIO/VIDEO 8 ANALOG CARD (AV8 / MCA) PARTS/OPTIONS AUDIO/VIDEO 8 ANALOG CARD (AV8 / MCA) PARTS/OPTIONS

AUDIO/VIDEO 8 ANALOG CARD (AV8 / MCA) PARTS/OPTIONS AUDIO/VIDEO 8 ANALOG CARD (AV8 / MCA) PARTS/OPTIONS AUDIO/VIDEO 8 ANALOG CARD (AV8 / MCA) PARTS/OPTIONS AUDIO/VIDEO 8 ANALOG CARD (AV8 / MCA) PARTS/OPTIONS AUDIO/VIDEO 8 ANALOG CARD (AV8 / MCA) PARTS/OPTIONS AUDIO/VIDEO 8 ANALOG CARD (AV8 / MCA) PARTS/OPTIONS MS-DPS PARTS/OPTIONS MS-DPS PARTS/OPTIONS WDA HIGH SPEED DIGITZER PARTS/OPTIONS WDA HIGH SPEED DIGITZER PARTS/OPTIONS

Price

Quantity 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1

Serial Number F24058DY R00101CZ-01 AMS060 AMS 3016 AMS 3019 AMS 3027i 3029i 10397 10419 412202 404086 410876 412017 412020 413869 414235 C108 C383 C154R2 9679 9990 9547 9548 410240 403601 403602 403603 415025 403723 403599 404087 403724 410677 412207 412214 413255 413258 412208 406841

DEPOSITION)

R DEPOSITION) R DEPOSITION) R DEPOSITION)

R DEPOSITION) R DEPOSITION) R DEPOSITION) R DEPOSITION) R DEPOSITION)

POSITION) POSITION)

1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1

412189 403727 404083 404084 404085 408184 410984 410304 409577 407761 SN 9463 9663 9451 20399- RX2 321209-X2 326077 408097-X2-ACGR 325131 EF13 9158 9142 9466 9525 9405 9199 C713 C536 304588 412693 415062 T816 T825 T226 T2006 T2030 T225 T262 TBD 325608

1 1 1 1 N (CD) MEASUREMENT 1 DEPOSITION) 1 DEPOSITION) 1 DEPOSITION) 1 IMPLANTER 1 1 1 1 1 1 N (CD) MEASUREMENT 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1

POSITION) POSITION) POSITION)

322020 305305 332114 405632 U659 408181 414842 414843 QX1006 411127 W785 PVDA203-ch2/3 PVDA201-ch2/3 PVDA203-ch2/3 U-709 30262-10 4569 8885 9101 94110111 40072200 40084600 400895 TBD 400620 2010624 400755 40079200 400800 400801 4008854 400700 400861 400710 400711 T10235 400664 400644 400802 400803

1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1

400804 400805 400806 400829 400830 400831 400832 400833 T10153 5913 400610 400807 400808 400837 400856 400863 T10137 T10138 T10139 400609 400612 400842 T10220 400477 400814 400820 400866 400663 T10238 400646 400795 400867 400664 400858 400819 400642 H08046 80370 80386 72026

1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1

72027 72019 72022 72017 TBD 1002-GM6-3327 SN Various SN Various SN Various SN Various 743018 1139 D10389463F W052199 64530-6163 700808393A 56700-2218 56700-2219 Various Various Various Various Various Various Various Various Various Various Various Various Various Various Various Various Various Various Various Various Various

1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1

Various Various Various Various Various Various Various Various Various Various Various Various Various Various Various Various Various Various Various Various Various Various Various Various Various

1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1

PZD31045WX PZD31061WX PZD41182WX PZD31046WX PZD31065WX PZD41181WX PZD31068WX PZD51214WX R030187501 PZD51215WX PGA61374WX PGA61405WX PGA61414WX PGA61380WX PGA71443WX 64213 64214 68345 68281 68591 68601 68334 68314 68339 68341 68349 68362 68374 68404 68398 68399 68468

1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 N (CD) MEASUREMENT 1 ATION INSPECTION SYSTEM 1 1 N (CD) MEASUREMENT 1 N (CD) MEASUREMENT 1 1 1 1 1 1 1

68400 68375 68454 68493 68508 68509 68507 68503 N/A N/A M2059 1889.1/86 1889.2/86 1889.3/86 M981040 M981210 M983110 98040 98058 98055 98050 98050 M098053 98052 M981090 M981510 M981150 M980830 M981060 9708710201G 0812-02 9703-05 9747-03 0740-013 075001K0251 WS179004-13 WS18210004 W21XX1033 W21xx921

EMENT SYSTEM EMENT SYSTEM

SPECTION SYSTEM

1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1

9310 9153 9313 9025 9100 9243R 1055 UV1144 3027 EV37S803007 EV37S803010 1097-4367 0703-1533-85 961273UV1070 971097UV1280SE 1066 0297-390 0598-516 0300-612 1197-8150 0299-0208 1297-170 0498-190 5013 210110806 210110807 17001 Q9707-1993 Q9701-1956 Q9701-1946 2102 Q9905-2069 Q9609-1947 Q9701-1955 Q9609-1948 101543-507170014 97586 1001862-05-27-11790 97034 23TM0010

1 1 1 1 1 1 1 1 ATION INSPECTION SYSTEM 1 ATION INSPECTION SYSTEM 1 ATION INSPECTION SYSTEM 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1

1001862-05-23-11358 94487 80138 80483 60164 42088 42066 42098 10041 -1 2112 LCRY0411N46093 203 2080-970805-047 2080-970805-049 29601 SN 00008 29807 29811 97030333 97100388 97090387 97120410 2007051254 2007051255 2007061262 2006011113 99020530 98080480 2008031297 2008031298 2008051307 2008051308 2007061224 2007071225 2007081226 98080459 2005041057 ASH0300106

DEPOSITION) R DEPOSITION) R DEPOSITION) R DEPOSITION) R DEPOSITION) R DEPOSITION) R DEPOSITION) R DEPOSITION) DEPOSITION) DEPOSITION) DEPOSITION) DEPOSITION) DEPOSITION) DEPOSITION) DEPOSITION) POSITION) POSITION)

1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1

F01351 F01352 96-13-2119 D9229A 3131A 3138A D9227A D11711A D14012A D11712A 01-6-C26284 D16215A D14523A DLCMS0586 98-7-5467 99-10-C25626 99-37-C2 M13126A M15065A 10103 309 PSK-96013-12 ILJZ0226 610S3120302 17065 17401

WF-10088 7 CS25021 SMP65A291US 69370 71249B 100250 379-52518 76405 45793-1 45793-2

EMENT SYSTEM EMENT SYSTEM

DEPOSITION)

TER/DEVELOPER)

1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1

1-01-MPC-1130-BK03 1-05-MPO-1344-BU-06 06-040341 287 300 M85329 M111812 230233 229389 T238991 T239141 729 732 859 B192-12031856 ES1 UY-2 T00505221A 128 3 51730 - 011 44760 - 011 48190-011 46270-011 48110-011 48080-011 48200-011 65830-011 48660-011 49690-011 48620-011 48650-011 48580-011 68930-011 31-001 P67016 P67017 P67039

EMENT SYSTEM EMENT SYSTEM EMENT SYSTEM EMENT SYSTEM

1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 2 2 2 2 2 2 2 2 2 2

Various in Stock Various in Stock Various in Stock Various in Stock Various in Stock Various in Stock Various in Stock Various in Stock 2960SV 2531SV 6619 6651 6580 OP7340PR1 3715 L00000615018 L00000545137 AOU000730008 A00009795488 A00009480041 A00009740045 A00009610095 A00009715127 A00009715135 A00009745204 A000097Y5649 A00009715130 A00009915009 Furnace #1: SN A000097X5550 Furnace #2: SN A000097Z5678 Furnace #1: SN A000098X5410 Furnace #2: SN A000097X5551 Furnace #1: SN A000097X5548 Furnace #2: SN A000097Z5680 Furnace #1: SN A00009935032 Furnace #2: SN A00000025019 Furnace #1: SN A000095215 Furnace #2: SN A000095214 Furnace #1: SN A000097X5545 Furnace #2: SN A00009925019 Furnace #1: SN A00009835134 Furnace #2: SN A000098X5409 Furnace #1: SN A000097Y5664 Furnace #2: SN A00009835133 Furnace #1: SN A000097X5553 Furnace #2: SN A00009855305 Furnace #1: SN A00009865312 Furnace #2: A00009885367

TER/DEVELOPER) TER/DEVELOPER) TER/DEVELOPER) TER/DEVELOPER) TER/DEVELOPER) TER/DEVELOPER) TER/DEVELOPER) ATER/DEVELOPER ATER/DEVELOPER ATER/DEVELOPER ATER/DEVELOPER ATER/DEVELOPER ATER/DEVELOPER ATER/DEVELOPER ATER/DEVELOPER ATER/DEVELOPER ATER/DEVELOPER ATER/DEVELOPER ATER/DEVELOPER ATER/DEVELOPER ATER/DEVELOPER ATER/DEVELOPER ATER/DEVELOPER ATER/DEVELOPER

2 2 2 2 2 1 2 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1

Furnace #1: SN A000098Z5418 Furnace #1: SN A00009935033 Furnace #1: SN A00009925020 Furnace #1: SN A000097X5552 Furnace #1: SN A00000085254 A00009865313 Furnace #1: SN A000097X5546 A00009995112 M00000165439 M000001X5518 M00000735053 M0000073577 M00000755104 M000006X5154 A00000435016 M000003Y5146 MD-9180182 MD-9180185 MD-9180324 MD-9180325 MD-G240208 MD-G240274 MD-G471088 8271273 8271187 8271185 8271116 8271118 8181548 8271198 8271117 8271186 8271197 8271119 8271115 8271199 8271321 8101982 8271315 8271316

Furnace #2: A00009915004 Furnace #2: SN A000098X5408 Furnace #2: SN A000097Z5677 Furnace #2: SN A00009915003 Furnace #2: SN A000000Y5253 Furnace #2: SN A00009855304

ATER/DEVELOPER ATER/DEVELOPER ATER/DEVELOPER ATER/DEVELOPER ATER/DEVELOPER

POR DEPOSITION

PE (AFM)

1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1

8271054 8271318 8271319 8191906 8281678 W052199 W063343 W063350 W063351 EH26087 H00557 TBD K10021 U00633 LLZ531 U00744 U00739 U00738 UE0229 UME086 W032087 W032088 W032093 W042094 ES137020 ES137151 ES137150 ES137156 183 125 127 113 3147G00107 SN Various SN Various SN Various SN Various SN Various SN Various SN Various

1 1 1 1 1 1 1 1 1 1

SN Various SN Various SN Various SN Various SN Various SN Various Multiple Available Multiple Available SN Various SN Various

Vintage

Wafer Size 12" 200mm and 300mm 300 mm 300mm 300mm 300mm 200mm

2005 2005 2005 2006

2006 2004 2006 2006 2006 2007 2007 1997 1998 1997

2006 2004 2004 2004 2007 2004 2004 2004 2004 2006 2007 2007 2007 2007 2006 2004

300mm 300mm 300mm 300mm 300mm 300mm 300mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm

2006 2004 2004 2004 2004 2005 2006 2006 2006 2005 1997

2001 2001 2005 1999 1996 1996

1997 1996 1998 1997 2000 2000 2007 2001 2001

2005 2004 2006 2002

300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm 300mm 300mm 200mm

2001 2000 2001 2004 2003 2005 2007 2007 2005 2006 2000

2004 2006

1994

2007 2007

200mm 200mm 200mm 200mm 300mm 300mm 200mm 200mm 300mm 300mm 200mm 300mm 300mm 300mm 200-300mm 300mm 300mm 300mm 300mm 200mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm

2004 2004 2006 2006 2006 2007 2007 2007

TBD TBD TBD TBD TBD TBD

300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 200mm 200mm 200mm 300mm

1996 1997 2005

2005 2004

300mm 300mm 300mm 300mm

2002 1996-1998

1997 2007 2004 2006 1997 1997 1997-2003 1997-2003 1997-2003 1997-2003 1997-2003 1997-2003 1997-2003 1997-2003 1997-2003 1997-2003 1997-2003 1997-2003 1997-2003 1997-2003 1997-2003 1997-2003 1997-2003 1997-2003 1997-2003 1997-2003 1997-2003

100mm 200mm 300mm 300mm 200m 300m 200m 200m

1997-2003 1997-2003 1997-2003 1997-2003 1997-2003 1997-2003 1997-2003 1997-2003 1997-2004 1997-2004 1997-2004 1997-2004 1997-2004 1997-2004 1997-2004 1997-2004 1997-2004 1997-2005 1997-2005 1997-2005 1997-2005 1997-2005 1997-2005 1997-2006 1997-2006 1997-2006 1997-2006 1997-2006 1997-2006 1997-2006 1997-2006 1997-2006 1997-2006 1997-2006 1997-2006 1997-2006 1997-2006 1997-2006 1997-2006 1997-2006

1997-2006 1997-2006 1997-2006 1997-2006 1997-2006 1997-2006 1997-2006 1997-2006 2004 2004 2005 2003 2004 2005 2004 2005 2004 2005 2006 2007 2007

300 mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm

2001 2001 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm

300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm

2002 1986 1986 1986 200mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm

TBD 1997 1996 1997 1997 2008 2008 2008 TBD TBD TBD TBD 1997 1998 2005 1999 2001 2002 TBD 2002 1997

200-300mm 200mm 200mm 200mm 200mm 200-300mm 200mm

2002 2000 2002 1999 2000 2003 2003 2005 2000 TBD TBD 1997 2003 1996 TBD 2005 1997 1998 2000 1997 1999 1997 1998 2003 2001 2001 1997 1997 1997

200mm 200mm 200-300 mm 200mm 200mm 200mm 300mm 300mm 200-300mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm 150mm 200mm 200mm 300mm 200-300mm 300mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm 300mm 300mm 300mm 300mm 300mm

1997 1997 2007

2006 2000

2005 2005 2000 2001 2003 1997 1996 1996

300mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm

2002 2006 1997 200mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 200mm 300mm 300mm

2004 2002 2004 2004 1997 1997 1997 1997 2007 2007 2007 2007 1999 1998 2008 2008 2008 2008 2007 2007 2007 1998 2005 2003

1996 1997 1996 2005 2003 2004 2004 2006 2006 2006 2001

200mm 200mm 200mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 200mm 200mm 200mm 200mm 200mm 200mm 300mm 300mm 200mm 200mm 200mm

1999 1999

1995 1998 2006 2002 2006

200-300mm 200-300mm

2004 2003 2005 1997 1997 1997 2000 1980 2000 1980 1980

200mm 200mm 300mm

2000 2003 2007

200mm 300mm 300mm

2005 2005 2006 2006 2003 2003 2004 2006

200mm 200mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm

2007 TBD 2004 1998 1996 1997 1996 1997 1997 1997 2001 1998 1998 1998 1998 1998 1998 1997

300mm 200mm 12'' 200mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm

2005 2006 2006 2006 2006 2006 2006 2006 2008 2008 1998 1998 1997 2002 1996 2006 2005

2007 1997 1996 1997 1997 1998 1997 1997 1999 00097Z5678 1997&1998 1997 1999-2000 2000 1997&1999 00098X5409 0009835133 1997&1998 1998

200mm 200mm 200mm 200mm 200mm 300mm 300mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm

1998 1998&1999 1997&1999 1997&2000 2000

200mm 200mm 200mm 200mm 200mm 200mm 1997&1998 200mm 1999 200mm 2001 200mm 2001 200mm 2007 200mm 2007 200mm 2007 200mm 2006 2004 200mm 2003 200mm 1997 200mm 1998 200mm 1998 200mm 1998 200mm 2005 300mm 2005 300mm 2007 300mm 1999 200mm 1997 200mm 1997 200mm 1997 200mm 1997 200mm 1998 200mm 1997 200mm 1997 200mm 1997 200mm 1997 200mm 1997 200mm 1997 200mm 1997 200mm 1997 200mm 1997 200mm 1997 200mm 1997 200mm

1997 1997 1997 1997 1998 2005 2006 2006 2006 2006 2004 TBD 1997 1997 1997 TBD TBD 2004 2003 2004 2004 2003 2004 1998 TBD TBD TBD 2004 2003 2004 2004 1992

200mm 200mm 200mm 200mm 200mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 300mm 200mm 200mm 200mm 200mm 200mm 200mm 200mm 300mm 300mm 300mm 300mm 200mm 300mm 300mm 300mm 300mm 300mm 300mm 200mm

2007 2007

Description Accretech Single Port Loader 9990254FY,ASYST ART9100 Cassette ID Reader,12" Nickel Coated Chu Currently Configured for 200mm and 300mm Wafer Sizes,System was Upgraded to 1600L from 1400L,Ca Currently Configured for 300mm wafer sizes MFG Date: 2005 Install Type: Stand-Alone Cassette Inte Currently Configured for 300mm wafer sizes - MFG Date: Feb 2005 CE Marked Install Type: Stand-Alo Currently Configured for 300mm wafer sizes MFG Date: May 2005 CE Marked Install Type: Stand-Alo Currently Configured for 300mm wafer sizes Install Type: Stand-Alone Cassette Interface: o (2) Brooks Currently Configured for 200mm Wafer Sizes Configurable for 200-300mm Wafer Sizes MFG Date: De For burning-in and testing state-of-the-art memory devices, including Flash, SDR, DDR, RDRAM, Static, A For burning-in and testing state-of-the-art memory devices, including Flash, SDR, DDR, RDRAM, Static, A Currently Configured for 300mm wafer sizes MFG Date: 2006 Install Type: Stand-Alone CE Marked Currently Configured for 300mm wafer sizes MFG Date: 2004
Install Type: Stand-Alone
CE Marked
Currently Configured for 300mm wafer sizes MFG Date: 2006 Install Type: Stand-Alone CE Marked
300mm notch 2006 vintage Factory Interface 5.X Yaskawa robot
Centura 4.X mainframe
Chamber 300mm notch 2006 vintage Factory Interface 5.X Yaskawa robot
Centura 4.X mainframe
Chamber 300mm notch 2007 vintage Factory Interface 5.X Yaskawa robot
Centura 4.X mainframe
Chamber 300mm notch 2007 vintage Factory Interface 5.X Yaskawa robot
Centura 4.X mainframe
Chamber 200mm notch 1997 vintage SMIF (Jenoptik) Wide body load locks
Manual lid hoist
HP Robot
CH 200mm notch 1998 vintage Narrow body load locks Manual lid hoist
HP Robot
CH A, B, C SACV 200mm notch 1997 vintage Narrow body load locks Manual lid hoist
HP Robot
CH A, B, C SACV Currently Configured for 200mm Wafer Sizes Install Type: Through the Wall Cassette Interface: o Na Currently Configured for 200mm wafer sizes Install Type: Thru-the-wall (TTW) Cassette Interface: Nar Currently Configured for 200mm Wafer Sizes Install Type: Through the Wall Cassette Interface: o Narr Currently Configured for 200mm Wafer Sizes Install Type: Through the Wall Cassette Interface: o Narr 300mm 2006 vintage Centura ACP mainframe 2x FOUP
Factory Interface (5.X) o Yaskawa robot
W
o Currently Configured for 300mm wafer sizes MFG Date: 2004 Install-Type: Stand-Alone CE Marked
Currently Configured for 300mm wafer sizes MFG Date: 2004 Install-Type: Stand-Alone CE Marked
M Currently Configured for 300mm wafer sizes MFG Date: 2004 Install-Type: Stand-Alone CE Marked Currently Configured for 300mm wafer sizes MFG Date: 2007 Install-Type: Stand-Alone CE Marked
Currently Configured for 300mm wafer sizes MFG Date: 2004 Install-Type: Stand-Alone CE Marked
M Currently Configured for 300mm wafer sizes MFG Date: 2003 Install Type: Stand-Alone CE Marked Currently Configured for 300mm wafer sizes MFG Date: 2004 Install Type: Stand-Alone CE Marked
Currently Configured for 300mm wafer sizes MFG Date: 2004 Install Type: Stand-Alone CE Marked
Currently Configured for 300mm wafer sizes MFG Date: 2006 Install Type: Stand-Alone CE Marked
Currently Configured for 300mm wafer sizes MFG Date: 2007 Install Type: Stand-Alone CE Marked
Currently Configured for 300mm wafer sizes MFG Date: 2007 Install Type: Stand-Alone
CE Marked
Currently Configured for 300mm wafer sizes
MFG Date: 2007
Install Type: Stand-Alone
CE Marked
Currently Configured for 300mm wafer sizes MFG Date: 2007 Install Type: Stand-Alone
CE Marked
Currently Configured for 300mm wafer sizes MFG Date: 2006 Install Type: Stand-Alone
CE Marked
S Currently Configured for 300mm wafer sizes MFG Date: 2004 Install Type: Stand-Alone
CE Marked

Currently Configured for 300mm wafer sizes MFG Date: 2006 Install Type: Stand-Alone
CE Marked
Currently Configured for 300mm wafer sizes MFG Date: 2004 Install Type: Stand-Alone
CE Marked
Currently Configured for 300mm wafer sizes MFG Date: 2004 Install Type: Stand-Alone
Software:
F o Currently Configured for 300mm wafer sizes MFG Date: 2004 Install Type: Stand-Alone
Software:
F o Currently Configured for 300mm wafer sizes MFG Date: 2004 Install Type: Stand-Alone
Software:
F o Currently Configured for 300mm wafer sizes
MFG Date: 2005
Install Type: Stand-Alone
Software:
F o Currently Configured for 300mm wafer sizes
MFG Date: 2006
Install Type: Stand-Alone
Software:
F o Currently Configured for 300mm wafer sizes
MFG Date: 2006
Factory Interface (FI) o (2) 300mm FOUP
Currently Configured for 300mm wafer sizes
MFG Date: 2006
Factory Interface (FI) o (2) 300mm FOUP
Currently Configured for 300mm wafer sizes
MFG Date: 2005
Factory Interface (FI) o (2) 300mm FOUP
Currently Configured for 200mm Wafer Sizes
MFG Date: TBD
Install Type: Through-the-wall (TTW) L
Currently Configured for 200mm Wafer Sizes
MFG Date: Feb 1997
Last PM Date: Apr 2008
Install Ty Currently Configured for 200mm Wafer Sizes
MFG Date: TBD
Install Type: Through-the-wall (TTW) L
Configured for 200mm wafers MFG date:
Install Type: Stand Alone
Centura II MF
Note: Tool is SM
o Currently Configured for 200mm Wafer Sizes
MFG Date: TBD
Install Type: Through-the-wall (TTW) L
Currently Configured for 200mm Wafer Sizes
MFG Date: Feb 2001
Last PM Date: Apr 2008
DPS+ Po Currently Configured for 200mm Wafer Sizes
MFG Date: Jul 2001
Last PM Date: Apr 2008
Install Typ Currently Configured for 200mm Wafer Sizes
MFG Date: 2005
Centura II M/F:
Robot: VHP+ System o
Currently Configured for 200mm Wafer Sizes
MFG Date: TBD
Install Type: Through-the-wall (TTW) L
Currently configured for 200mm wafers MFG Date: Dec 1999
Install type: Stand Alone
CE Marked
S
Currently Configured for 200mm Wafer Sizes
MFG Date: Aug 1996
Last PM Date: Sep 2008
CE Mark Currently Configured for 200mm Wafer Sizes
MFG Date: Sep 1996
Last PM Date: Sep 2008
Install T Currently Configured for 200mm Wafer Sizes
MFG Date: TBD
Install Type: Through-the-wall (TTW) L
Currently Configured for 200mm Wafer Sizes
MFG Date: TBD
Install Type: Through-the-wall (TTW) L
Currently Configured for 200mm Wafer Sizes
MFG Date: Jun 1997
Last PM Date: Sep 2008
Install Ty Currently Configured for 200mm Wafer Sizes
MFG Date: Oct 1996
Last PM Date: Sep 2008
Install Ty 200mm notch 1998 vintage SMIF (Jenoptik) Wide body load locks
Manual lid hoist
HP Robot
CH 200mm notch 1997 vintage SMIF (Jenoptik) Wide body load locks Manual lid hoist
HP Robot
CH 200mm notch
2000 vintage
Narrow body load locks
Manual lid hoist
HP Robot
CH A, B Ultima TE 200mm notch
2000 vintage
Narrow body load locks
Manual lid hoist
HP Robot
CH A, B Ultima T 200mm notch
2007 vintage
Narrow body load locks
Manual lid hoist
HP Robot
OTF Centerfind
C Currently Configured for 200mm Wafer Sizes
Capable of processing 300mm Wafer Sizes
MFG Date: Currently Configured for 200mm Wafer Sizes
Capable of processing 300mm Wafer Sizes
MFG Date: M Currently Configured for 200mm Wafer Size
CE Marked
Software Version: 6.82 Sp1 upgraded to 6.82 Currently configured for 200mm wafer sizes
300mm & Bridge (200mm Tool)
Originally Compass 300 To Currently Configured for 200mm and 300mm Wafer Sizes
MFG Date: 2005
CE Marked
Install Type: Currently configured for 200mm wafer sizes
300mm & Bridge (200mm Tool)
Originally Compass 300 To Currently Configured for 300mm Wafer Sizes
MFG Date: 2004
Process: Darkfield & Key Brightfield Ins 300mm 2006 vintage Gen 1
ALD Chamber only
TaN BFBE
Leybold Turbo o o 200mm Wafers (SNNF) SMIF Vintage 2002 CH A Pass through
CH B Cool Down
CH C Pre-C

200mm Wafers (SNNF) SMIF Vintage 2001 CH A Pass through


CH B Cool Down
CH C Pre-C 200mm Wafers (SNNF)
SMIF
Vintage 2000
CH A Pass through
CH B Cool Down
CH C Pre-C 200mm 2001 Vintage Narrow Body Load Locks HP Buffer Robot
HP Transfer Robot
Ch-A Cool Currently Configured for 200mm wafer sizes
MFG Date: Mar 2004
CE Marked
Process: 60BCMP
Sy Currently Configured for 300mm Wafer Sizes
MFG Date: 2003
CE Marked
Install Type: Stand Alone
300mm
2005 vintage
Factory Interface 5.3
2 load ports (FOUPS) o 2 Kawasaki robots
E99 Hermo o
o 2007 vintage
Wafer size 200mm (JMF)
Install Type: Through-the-wall (TTW) M/F Type: Producer S M
2007 vintage
Wafer size 200mm (JMF)
Install Type: Through-the-wall (TTW) M/F Type: Producer S M
Currently Configured for 300mm Wafer Sizes
MFG Date: Apr-05
CE Marked
Protocol: FEOL, BEOL Currently Configured for 300mm wafer sizes
MFG Date: Nov 2006
Idle Date: Mar 2012
Install Type: S Currently Configured for 200mm wafer sizes
Capable of running 150 & 200mm wafer sizes
MFG Date: 300mm notch
MCA heater Star ampoule
300mm notch
MCA heater Star ampoule
300mm notch
MCA heater Star ampoule
Currently Configured for 300mm & 200mm Wafer sizes
MFG Date: 2004
Install Type: Stand-Alone
CE Currently Configured for 300mm wafer sizes
Tool Install Date: 2006
Tool Idle Date: Jun 2012
Process System has the following major specifications: - 300 mm Wafers - 80 nm Resolution - Maximum NA 0.85 System has the following major specifications:
300 mm Wafers 80 nm Resolution Maximum NA 0.85

System has the following major specifications:
300 mm Wafers 280 nm Resolution
Maximum NA 0.65
Manufactured 1994
200mm (easily changed to other wafers sizes 125mm and 150mm) IBM Compatibl
300mm Brooks front end Brooks wafer transfer module o Mag 7 robot
PM2
NOLA ALD process
C o o 300mm
Brooks front end
Brooks wafer transfer module
Mag 7 robot
PM2
NOLA ALD process
C o o o 300mm
2007 Vintage
Brooks Gemini front end/Wafer transfer module
Mag 7 robot
AcuLine wafer o o 300mm
2007 Vintage
Brooks Gemini front end/Wafer transfer module
Mag 7 robot
AcuLine wafer o o 300mm
Mag 7 robot
PM2
NOLA ALD process
Chiller
o o Gas Box (Aera MFCs)
Ar 200 sccm
Ar 50 300mm
Mag 7 robot
PM2
NOLA ALD process
Chiller
o o Gas Box (Aera MFCs)
Ar 200 sccm
Ar 50 300mm
Mag 7 robot
PM2
NOLA ALD process
Chiller
o o Gas Box (Aera MFCs)
Ar 200 sccm
Ar 500 300mm
Mag 7 robot
PM2
NOLA ALD process
Chiller
o o Gas Box (Aera MFCs)
Ar 200 sccm
Ar 500 Currently Configured for LPCVD silicon nitride
300mm
S/W version AVP 2.7.1 (Linux) FOUP load po
Currently Configured for LPCVD silicon nitride
300mm
S/W version AVP 2.7.1 (Linux) FOUP load p
Currently Configured for LPCVD silicon nitride
300mm
S/W version AVP 2.7.1 (Linux) FOUP load p
Currently Configured for Thermal Oxidation
TBD vintage
300mm
S/W version AVP 2.7.1 V (Linux) Currently Configured for LPCVD silicon nitride
300mm
S/W version AVP 2.7.1 (Linux) FOUP load po
Currently Configured for LPCVD Poly
300mm
S/W version AVP 2.7.1 (Linux) FOUP load port
120
Currently Configured for LPCVD Poly
300mm
S/W version AVP 2.7.1 (Linux) FOUP load port
120
Currently Configured for LPCVD Poly
300mm
S/W version AVP 2.7.1 (Linux) FOUP load port
120
Currently Configured for LPCVD Poly
300mm
S/W version AVP 2.7.1 (Linux) FOUP load port
120
Currently Configured for LPCVD Poly
300mm
S/W version AVP 2.7.1 (Linux) FOUP load port
120
Currently Configured for LPCVD Poly
300mm
S/W version AVP 2.7.1 (Linux) FOUP load port
120
Currently Configured for LPCVD Poly
300mm
S/W version AVP 2.7.1 (Linux) FOUP load port
120

Currently Configured for LPCVD Poly


300mm
S/W version AVP 2.7.1 (Linux) FOUP load port
120
Currently Configured for LPCVD Poly
300mm
S/W version AVP 2.7.1 (Linux) FOUP load port
120
Currently Configured for LPCVD Poly
300mm
S/W version AVP 2.7.1 (Linux) FOUP load port
120
Currently Configured for LPCVD Poly
300mm
S/W version AVP 2.7.1 (Linux) FOUP load port
120
Currently Configured for LPCVD Poly
300mm
S/W version AVP 2.7.1 (Linux) FOUP load port
120
Currently Configured for LPCVD Poly
300mm
S/W version AVP 2.7.1 (Linux) FOUP load port
120
Currently Configured for LPCVD Poly
300mm
S/W version AVP 2.7.1 (Linux) FOUP load port
120
Currently Configured for LPCVD Poly
300mm
S/W version AVP 2.7.1 (Linux) FOUP load port
120
Currently Configured for LPCVD Poly HSG
2004 vintage
300mm
S/W version AVP 2.7.1 (Linux)
Currently Configured for LPCVD Poly HSG
2004 vintage
300mm
S/W version AVP 2.7.1 (Linux)
Currently Configured for LPCVD gas phase doping
2006 vintage
300mm
S/W version AVP 2.7.1 (L Currently Configured for LPCVD gas phase doping
2006 vintage
300mm
S/W version AVP 2.7.1 (L Currently Configured for LPCVD gas phase doping
2006 vintage
300mm
S/W version AVP 2.7.1 (L Currently Configured for LPCVD gas phase doping
2007 vintage
300mm
S/W version AVP 2.7.1 (L Currently Configured for LPCVD gas phase doping
2007 vintage
300mm
S/W version AVP 2.7.1 (L Currently Configured for LPCVD gas phase doping
2007 vintage
300mm
S/W version AVP 2.7.1 (L Currently Configured for LPCVD silicon nitride
300mm
S/W version AVP 2.7.1 (Linux) FOUP load p
Currently Configured for LPCVD silicon nitride
300mm
S/W version AVP 2.7.1 (Linux) FOUP load p
Currently Configured for LPCVD silicon nitride
300mm
S/W version AVP 2.7.1 (Linux) FOUP load p
Currently Configured for LPCVD SiN
300mm
S/W version AVP 2.7.1 V (Linux) FOUP load port
10
Currently Configured for LPCVD silicon nitride
300mm
S/W version AVP 2.7.1 (Linux) FOUP load p
Currently Configured for Thermal Oxidation / Anneal
TBD vintage
300mm
S/W version AVP 2.7.1 V Currently Configured for Thermal Oxidation / Anneal
TBD vintage
300mm
S/W version AVP 2.7.1 V Currently Configured for Thermal Oxidation / Anneal
TBD vintage
300mm
S/W version AVP 2.7.1 V Currently Configured for Thermal Oxidation / Anneal
TBD vintage
300mm
S/W version AVP 2.7.1 V Currently Configured for Thermal Oxidation / Anneal
TBD vintage
300mm
S/W version AVP 2.7.1 V Currently Configured for Thermal Oxidation
TBD vintage
300mm
S/W version AVP 2.7.1 V (Linux) Currently Configured for LPCVD SiN
300mm
S/W version AVP 2.7.1 V (Linux) FOUP load port
10
Currently Configured for LPCVD SiN
300mm
S/W version AVP 2.7.1 V (Linux) FOUP load port
10
Currently Configured for LPCVD SiN
300mm
S/W version AVP 2.7.1 V (Linux) FOUP load port
10
Currently Configured for LPCVD SiN
300mm
S/W version AVP 2.7.1 V (Linux) FOUP load port
10
Currently Configured for LPCVD SiN
300mm
S/W version AVP 2.7.1 V (Linux) FOUP load port
10
Currently Configured for LPCVD Poly
300mm
S/W version AVP 2.7.1 (Linux) FOUP load port
120
Currently Configured for Thermal Oxidation
300mm
S/W version AVP 2.7.1 V (Linux) FOUP load p
Currently Configured for Thermal Oxidation
300mm
S/W version AVP 2.7.1 V (Linux) FOUP load p
Currently Configured for Thermal Oxidation
300mm
S/W version AVP 2.7.1 V (Linux) FOUP load p
200mm
Dual cassette nests
Hydrogen reflow oven
Temp range 100C - 600 C 5-zone heated cha
Currently Configured for 200mm Wafer Sizes
MFG Date: Dec 1996
Cassette Interface:
(4) Cassette o Currently Configured for 200mm Wafer Sizes
MFG Date: 1997
Cassette Interface:
(4x) Cassette Ca o Currently Configured for 300mm wafer sizes
MFG Date: 2005
CE Marked
Install Type: Stand-Alone

Currently Configured for 300mm wafer sizes


MFG Date: 2005
CE Marked
Install Type: Stand-Alone Currently Configured for 300mm wafer sizes
MFG Date: 2004
CE Marked
Install Type: Stand-Alone Currently Configured for 300mm wafer sizes
Install Type: Stand-Alone
Application: Stack-Non metal to Currently Configured for 300mm wafer sizes
Install Type: Stand-Alone
Application: Stack-Non metal to Dual Slurry Delivery
Chemicals Used: N2, DIW, Slurry, STI, Magic 4 Model# GTM6L3CS608BCR-VB
2002 vintage
Reticle cassette I/O
SMIF I/O
Used for storing ASML Models SSM/2/P/B aut
Serial 518596
o 1996 date of manufacture ISE200 IXF/F INFAB SMIF station 2x Several available
All model OMHS200
Include pod opener SLR 200M
Ultra clean environment
Ion o Several available
All model OMHS200
Include pod opener SLR 200M
Ultra clean environment
Ion o Several available
All model OMHS200
Include pod opener SLR 200M
Ultra clean environment
Ion o Several available
All model OMHS200
Include pod opener SLR 200M
Ultra clean environment
Ion o Sample size: 100mm
Tool Type: Axiotron 8x8UV
MFG Date: Feb 1997
Install Date: Jun 1997
CE M Currently Configured for 200mm Wafer Sizes
MFG Date: 1997
Model: Fullflow-1
Install Type: Stand Currently configured for 300mm wafer sizes
Install Type: Stand-Alone
Cassette Interface:
(1) 300mm o Currently Configured for 300mm Wafer Sizes
MFG Date: 2007
Cassette Interface:
x2 300mm FOUP o Currently configured for 200mm wafer sizes
Tool used for Copper Process
MFG Date: Oct. 2004
CE M Currently Configured for 300mm wafer sizes
MFG Date: Jan 2006
Cassette Interface (FOUP Station) Currently Configured for 200mm Wafer sizes
MFG Date: 1997
Install Type: Stand-Alone
Modules:
o Currently Configured for 200mm Wafer sizes
MFG Date: 1997
Install Type: Stand-Alone
Modules:
o MFG Date: 1997-2003
SN: Various
Non-CE Marked
Local LCD Controller Std Specs:
Pumping S
o MFG Date: 1997-2003
SN: Various
Non-CE Marked
Local LCD Controller Std Specs:
Pumping S
o MFG Date: 1997-2003
SN: Various
Non-CE Marked
Local LCD Controller Std Specs:
Pumping S
o MFG Date: 1997-2003
SN: Various
Non-CE Marked
Local LCD Controller Std Specs:
Pumping S
o MFG Date: 1997-2003
SN: Various
Non-CE Marked
Local LCD Controller Std Specs:
Pumping S
o MFG Date: 1997-2003
SN: Various
Non-CE Marked
Local LCD Controller Std Specs:
Pumping S
o MFG Date: 1997-2003
SN: Various
Non-CE Marked
Local LCD Controller Std Specs:
Pumping S
o MFG Date: 1997-2003
SN: Various
Non-CE Marked
Local LCD Controller Std Specs:
Pumping S
o MFG Date: 1997-2003
SN: Various
Non-CE Marked
Local LCD Controller Std Specs:
Pumping S
o MFG Date: 1997-2003
SN: Various
Non-CE Marked
Local LCD Controller Std Specs:
Pumping S
o MFG Date: 1997-2003
SN: Various
Non-CE Marked
Local LCD Controller Std Specs:
Pumping S
o MFG Date: 1997-2003
SN: Various
Non-CE Marked
Local LCD Controller Std Specs:
Pumping S
o MFG Date: 1997-2003
SN: Various
Non-CE Marked
Local LCD Controller Std Specs:
Pumping S
o MFG Date: 1997-2003
SN: Various
Non-CE Marked
Local LCD Controller Std Specs:
Pumping S
o MFG Date: 1997-2003
SN: Various
Non-CE Marked
Local LCD Controller Std Specs:
Pumping S
o MFG Date: 1997-2003
SN: Various
Non-CE Marked
Local LCD Controller Std Specs:
Pumping S
o MFG Date: 1997-2003
SN: Various
Non-CE Marked
Local LCD Controller Std Specs:
Pumping S
o MFG Date: 1997-2003
SN: Various
Non-CE Marked
Local LCD Controller Std Specs:
Pumping S
o MFG Date: 1997-2003
SN: Various
Non-CE Marked
Local LCD Controller Std Specs:
Pumping S
o MFG Date: 1997-2003
SN: Various
Non-CE Marked
Local LCD Controller Std Specs:
Pumping S
o MFG Date: 1997-2003
SN: Various
Non-CE Marked
Local LCD Controller Std Specs:
Pumping S
o

MFG Date: 1997-2003


SN: Various
Non-CE Marked
Local LCD Controller Std Specs:
Pumping S
o MFG Date: 1997-2003
SN: Various
Non-CE Marked
Local LCD Controller Std Specs:
Pumping S
o MFG Date: 1997-2003
SN: Various
Non-CE Marked
Local LCD Controller Std Specs:
Pumping S
o MFG Date: 1997-2003
SN: Various
Non-CE Marked
Local LCD Controller Std Specs:
Pumping S
o MFG Date: 1997-2003
SN: Various
Non-CE Marked
Local LCD Controller Std Specs:
Pumping S
o MFG Date: 1997-2003
SN: Various
Non-CE Marked
Local LCD Controller Std Specs:
Pumping S
o MFG Date: 1997-2003
SN: Various
Non-CE Marked
Local LCD Controller Std Specs:
Pumping S
o MFG Date: 1997-2003
SN: Various
Non-CE Marked
Local LCD Controller Std Specs:
Pumping S
o MFG Date: 1997-2004
SN: Various
Non-CE Marked Pumps:
MEID(s): 4985, 4986, 4987, 4988, 499 o MFG Date: 1997-2004
SN: Various
Non-CE Marked Pumps:
MEID(s): 4985, 4986, 4987, 4988, 499 o MFG Date: 1997-2004
SN: Various
Non-CE Marked Pumps:
MEID(s): 4985, 4986, 4987, 4988, 499 o MFG Date: 1997-2004
SN: Various
Non-CE Marked Pumps:
MEID(s): 4985, 4986, 4987, 4988, 499 o MFG Date: 1997-2004
SN: Various
Non-CE Marked Pumps:
MEID(s): 4985, 4986, 4987, 4988, 499 o MFG Date: 1997-2004
SN: Various
Non-CE Marked Pumps:
MEID(s): 4985, 4986, 4987, 4988, 499 o MFG Date: 1997-2004
SN: Various
Non-CE Marked Pumps:
MEID(s): 4985, 4986, 4987, 4988, 499 o MFG Date: 1997-2004
SN: Various
Non-CE Marked Pumps:
MEID(s): 4985, 4986, 4987, 4988, 499 o MFG Date: 1997-2004
SN: Various
Non-CE Marked Pumps:
MEID(s): 4985, 4986, 4987, 4988, 499 o MFG Date: 1997-2005
SN: Various
Non-CE Marked Pumps:
MEID(s): 5000, 5001, 5004, 5005, 500 o MFG Date: 1997-2005
SN: Various
Non-CE Marked Pumps:
MEID(s): 5000, 5001, 5004, 5005, 500 o MFG Date: 1997-2005
SN: Various
Non-CE Marked Pumps:
MEID(s): 5000, 5001, 5004, 5005, 500 o MFG Date: 1997-2005
SN: Various
Non-CE Marked Pumps:
MEID(s): 5000, 5001, 5004, 5005, 500 o MFG Date: 1997-2005
SN: Various
Non-CE Marked Pumps:
MEID(s): 5000, 5001, 5004, 5005, 500 o MFG Date: 1997-2005
SN: Various
Non-CE Marked Pumps:
MEID(s): 5000, 5001, 5004, 5005, 500 o MFG Date: 1997-2006
SN: Various
Non-CE Marked
Local LCD Controller Std Specs:
Pumping S
o MFG Date: 1997-2006
SN: Various
Non-CE Marked
Local LCD Controller Std Specs:
Pumping S
o MFG Date: 1997-2006
SN: Various
Non-CE Marked
Local LCD Controller Std Specs:
Pumping S
o MFG Date: 1997-2006
SN: Various
Non-CE Marked
Local LCD Controller Std Specs:
Pumping S
o MFG Date: 1997-2006
SN: Various
Non-CE Marked
Local LCD Controller Std Specs:
Pumping S
o MFG Date: 1997-2006
SN: Various
Non-CE Marked
Local LCD Controller Std Specs:
Pumping S
o MFG Date: 1997-2006
SN: Various
Non-CE Marked
Local LCD Controller Std Specs:
Pumping S
o MFG Date: 1997-2006
SN: Various
Non-CE Marked
Local LCD Controller Std Specs:
Pumping S
o MFG Date: 1997-2006
SN: Various
Non-CE Marked
Local LCD Controller Std Specs:
Pumping S
o MFG Date: 1997-2006
SN: Various
Non-CE Marked
Local LCD Controller Std Specs:
Pumping S
o MFG Date: 1997-2006
SN: Various
Non-CE Marked
Local LCD Controller Std Specs:
Pumping S
o MFG Date: 1997-2006
SN: Various
Non-CE Marked
Local LCD Controller Std Specs:
Pumping S
o MFG Date: 1997-2006
SN: Various
Non-CE Marked
Local LCD Controller Std Specs:
Pumping S
o MFG Date: 1997-2006
SN: Various
Non-CE Marked
Local LCD Controller Std Specs:
Pumping S
o MFG Date: 1997-2006
SN: Various
Non-CE Marked
Local LCD Controller Std Specs:
Pumping S
o MFG Date: 1997-2006
SN: Various
Non-CE Marked
Local LCD Controller Std Specs:
Pumping S
o MFG Date: 1997-2006
SN: Various
Non-CE Marked
Local LCD Controller Std Specs:
Pumping S
o

MFG Date: 1997-2006


SN: Various
Non-CE Marked
Local LCD Controller Std Specs:
Pumping S
o MFG Date: 1997-2006
SN: Various
Non-CE Marked
Local LCD Controller Std Specs:
Pumping S
o MFG Date: 1997-2006
SN: Various
Non-CE Marked
Local LCD Controller Std Specs:
Pumping S
o MFG Date: 1997-2006
SN: Various
Non-CE Marked
Local LCD Controller Std Specs:
Pumping S
o MFG Date: 1997-2006
SN: Various
Non-CE Marked
Local LCD Controller Std Specs:
Pumping S
o MFG Date: 1997-2006
SN: Various
Non-CE Marked
Local LCD Controller Std Specs:
Pumping S
o MFG Date: 1997-2006
SN: Various
Non-CE Marked
Local LCD Controller Std Specs:
Pumping S
o MFG Date: 1997-2006
SN: Various
Non-CE Marked
Local LCD Controller Std Specs:
Pumping S
o Currently Configured for 300mm wafer sizes
MFG Date: 2004
Factory Interface (FI):
Frame Config: o Currently Configured for 300mm wafer sizes
MFG Date: Oct 2004
Factory Interface (FI):
Frame Con o Currently Configured for 300mm wafer sizes
MFG Date: Apr 2005
Factory Interface (FI):
Frame Con o Currently Configured for 300mm wafer sizes
MFG Date: Dec 2003
Factory Interface (FI):
Frame Co o Currently Configured for 300mm wafer sizes
MFG Date: Oct 2004
Factory Interface (FI):
Frame Con o Currently Configured for 300mm wafer sizes
MFG Date: Mar 2005
Factory Interface (FI):
Frame Co o Currently Configured for 300mm wafer sizes
MFG Date: Mar 2004
Factory Interface (FI):
Frame Co o Currently Configured for 300mm wafer sizes
MFG Date: Mar 2005
Factory Interface (FI):
Frame Co o Currently Configured for 300mm wafer sizes
MFG Date: Mar 2004
Factory Interface (FI):
Frame Co o Currently Configured for 300mm wafer sizes
MFG Date: Mar 2005
Factory Interface (FI):
Frame Co o Currently Configured for 300mm wafer sizes
MFG Date: Mar 2006
Install Type: Stand-Alone
Factory Currently Configured for 300mm wafer sizes
MFG Date: 2007
Install Type: Stand-Alone
Factory Inte Currently Configured for 300mm wafer sizes
MFG Date: 2007
Install Type: Stand-Alone
Factory Inte Currently Configured for 300mm wafer sizes
Install Type: Stand-Alone
Factory Interface (FI):
Frame o Currently Configured for 300mm wafer sizes
Install Type: Stand-Alone
Factory Interface (FI):
Frame o Q-switched diode-pumped Yttrium VanadateNdYVO4) laser
Wavelength: 1.3m infrared
Laser Q-switched diode-pumped Yttrium VanadateNdYVO4) laser
Wavelength: 1.3m infrared
Laser Laser: Infrared Q-switched Vanadate Diode-pumped 1.34m wavelength
Laser Rep Rate: 50KHz
Pu Laser: Infrared Q-switched Vanadate Diode-pumped 1.34m wavelength
Laser Rep Rate: 50KHz
Pu Maximum Laser Pulse Energy - 0.5uJ
Default Label Language - English Only
Local Language Labels Maximum Laser Pulse Energy - 0.5uJ
Default Label Language - English Only
Local Language Labels Laser Pulse width Options: 17ns +/-3ns @ 100kHz
Maximum laser rep rate: 100kHz
Single Beam La Laser Pulse width Options: 17ns +/-3ns @ 100kHz
Maximum laser rep rate: 100kHz
Single Beam La Laser Pulse width Options: 17ns +/-3ns @ 100kHz
Maximum laser rep rate: 100kHz
Single Beam La Laser Pulse width Options: 17ns +/-3ns @ 100kHz
Maximum laser rep rate: 100kHz
Single Beam La Laser Pulse width Options: 17ns +/-3ns @ 100kHz
Maximum laser rep rate: 100kHz
Single Beam La Laser Pulse width Options: 17ns +/-3ns @ 100kHz
Maximum laser rep rate: 100kHz
Single Beam La Laser Pulse width Options: 17ns +/-3ns @ 100kHz
Maximum laser rep rate: 100kHz
Single Beam La Laser Pulse width Options: 16ns +/-3ns @ 70kHz
Maximum laser rep rate: 70kHz
Single Beam Lase Laser Pulse width Options: 16ns +/-3ns @ 70kHz
Maximum laser rep rate: 70kHz
Single Beam Lase Laser Pulse width Options: 16ns +/-3ns @ 70kHz
Maximum laser rep rate: 70kHz
Single Beam Lase Laser Pulse width Options: 16ns +/-3ns @ 70kHz
Maximum laser rep rate: 70kHz
Single Beam Lase

Laser Pulse width Options: 16ns +/-3ns @ 70kHz


Maximum laser rep rate: 70kHz
Single Beam Lase Laser Pulse width Options: 16ns +/-3ns @ 70kHz
Maximum laser rep rate: 70kHz
Single Beam Lase Laser Pulse width Options: 16ns +/-3ns @ 70kHz
Maximum laser rep rate: 70kHz
Single Beam Lase Laser Pulse width Options: 16ns +/-3ns @ 70kHz
Maximum laser rep rate: 70kHz
Single Beam Lase Laser Pulse width Options: 16ns +/-3ns @ 70kHz
Maximum laser rep rate: 70kHz
Single Beam Lase Laser Pulse width Options: 16ns +/-3ns @ 70kHz
Maximum laser rep rate: 70kHz
Single Beam Lase Laser Pulse width Options: 16ns +/-3ns @ 70kHz
Maximum laser rep rate: 70kHz
Single Beam Lase Laser Pulse width Options: 16ns +/-3ns @ 70kHz
Maximum laser rep rate: 70kHz
Single Beam Lase Ceramic Blast Cabinet
Model 3642
Internal cabinet dimensions: 36D x 42W x 48H
Tunable med CO2 Dry Bead Blaster Model 2636
Internal cabinet dimensions: 26D x 36W x 30H
Kleensweep d
50 keV acceleration, 5nm resolution, gas-immune (dual pumped) FIB column
Six axis eucentric stage ( Cooling Capacity: 45,000 Btu/Hr @ 46C
Condensing Unit: Prestcold Model TC-500-WH-22, 5HP Com Cooling Capacity: 45,000 Btu/Hr @ 46C
Condensing Unit: Prestcold Model TC-500-WH-22, 5HP Com Cooling Capacity: 45,000 Btu/Hr @ 46C
Condensing Unit: Prestcold Model TC-500-WH-22, 5HP Com Currently Configured for 200mm Wafer Sizes
MFG Date: TBD
Install Type: Through-the-Wall (TTW)
Currently Configured for 200mm Wafer Sizes
MFG Date: TBD
Install Type: Through-the Wall (TTW)
Currently Configured for 200mm Wafer Sizes
MFG Date: TBD
Install Type: Through-the-Wall (TTW)
Currently Configured for 200mm Wafer Sizes
MFG Date: Jul 1997
Last PM Date: Oct 27, 2008
Insta Currently Configured for 200mm Wafer Sizes
MFG Date: Dec 1996
Last PM Date: Nov 13, 2008
Ins Currently Configured for 200mm Wafer Sizes
MFG Date: Jan 1997
CE Marked
Last PM Date: Nov 5 Currently Configured for 200mm Wafer Sizes
MFG Date: Jul 1997
Last PM Date: Oct 14, 2008
Insta Currently Configured for 200mm Wafer Sizes
MFG Date: Jul 1997
Last PM Date: Sep 16, 2008
Insta Currently Configured for 200mm Wafer Sizes
MFG Date: unknown
Last PM Date: Oct 2, 2008
Instal Currently Configured for 200mm Wafer Sizes
MFG Date: Unknown
Last PM Date: Sep 16, 2008
Ins Currently Configured for 200mm Wafer Sizes
MFG Date: TBD
Install Type: Through-the-Wall (TTW)
Currently Configured for 200mm Wafer Sizes
MFG Date: TBD
Install Type: Through-the-Wall (TTW)
Currently Configured for 200mm Wafer Sizes
MFG Date: TBD
Install Type: Through-the-Wall (TTW)
Currently Configured for 200mm Wafer Sizes
MFG Date: TBD
Install Type: Through-the-Wall (TTW)
Currently Configured for 200mm Wafer Sizes
MFG Date: 1997
Install Type: Stand-Alone
System So (1) CDRECS-10
CD-SEM Remote Control System providing a real time control interface to up to 10 CD o MFG Date: May 1998
X, Y, Z Loader Stage: X, Y, Z axis
Laser Power: 10mW
Galvano Scanner (G3
Currently Configured for 200 & 300mm wafer sizes
MFG Date: Jun 2005
CE Marked
Applications:
o Currently Configured for 200mm Wafer Sizes
MFG Date: 1999
Cassette Interface:
(3) Open Casse o Currently Configured for 200mm Wafer Sizes
MFG Date: Mar 2001
Install Type: Stand-Alone
Mini-E Currently Configured for 200mm Wafer Sizes
MFG Date: Sep 2002
Install Type: Stand-Alone
Casse Hitachi GXH-1S Direct Drive Modular Mounter Included following standard accessories:
4 Gantries,
o Currently Configured for 200mm wafer sizes
MFG Date: TBD
Auto-loader Stage:
Tilt: -15 to 60deg
o Currently Configured for 200 & 300mm wafer sizes
MFG Date: 2002
CE Marked
Cassette Interface: Currently configured for 6 to 8 wafer sizes
Manufacturer date: October, 1997
Cassette Interface:
(2 o Currently Configured for 200mm Wafer sizes
MFG Date: Jan 1997
Last PM date: Aug 1997
Cassett

Currently configured for 200mm wafer sizes


Original Manufacture Date: March, 2002
CE Marked
H Currently configured for 200mm wafer sizes
Original Manufacture Date: May, 2000
CE Marked
Han Currently Configured for 200mm and 300mm Wafer Sizes
MFG Date: 2002
CE Marked
Install Type Currently configured for 200mm wafer sizes
Original Manufacture Date: March, 1999
CE Marked
Ha Currently configured for 200mm wafer sizes
Original Manufacture Date: January, 2000
CE Marked
H Currently Configured for 200mm Wafer Sizes
Configurable for 200mm-300mm Wafer Sizes
MFG Da Currently Configured for 300mm wafer sizes
MFG Date: 2003
PN: 0061812-000
Original UV system Currently Configured for 300mm wafer sizes
MFG Date: 2005
PN: 0083710-000
Original UV system Currently Configured for 200/ 300mm Wafer Sizes
Bridge Tool
MFG Date: 07-Mar-2000
Last PM: Ap Currently Configured for 200mm Wafer Sizes
MFG Date: TBD
Cassette Interface:
Dual SMIF (200m o Currently Configured for 200mm Wafer Sizes
MFG Date: TBD
Cassette Interface:
Dual SMIF (200m o Currently Configured for 200mm Wafer Sizes
Configurable for 100mm-200mm Wafer Sizes
MFG Da Currently configured for 200mm Wafer Sizes
Sample Handling: 150mm & 200mm (factory set)
MFG D Currently Configured for 200mm Wafer Sizes
MFG Date: Dec 1996
Last PM Date: Nov 27, 2008
Ca Currently Configured for 200mm Wafer Sizes
MFG Date: TBD
Install Type: Stand-Alone
Cassette In Currently Configured for 200 Wafer Sizes
MFG Date: Oct-05
CE Marked
Modules:
Equipment Fro o Currently Configured for 200mm Wafer Sizes
Configurable for multiple wafer sizes: 4, 6, 8 MFG Da
Currently Configured for 200mm Wafer Sizes
Configurable for multiple wafer sizes: 4, 6, 8 MFG Da
Currently Configured for 150mm (6) Wafer Sizes
Configurable for multiple wafer sizes: 4, 6, 8 MFG
Currently Configured for 200mm Wafers MFG Date: Dec 1997
Model: 8010
CE Marked
Single Ca
Currently Configured for 200mm Wafer Size
Original Manufacture Date: February 1999
CE Marked
Currently Configured for 300mm Wafer Size
Configurable for 200mm-300mm Wafer Sizes
Original M Currently Configured for 200mm/300mm Wafer Sizes
MFG Date: 17-Apr-1998
Last PM: Dec 2007
M Currently Configured for 300mm Wafer sizes
MFG Date: June 2003
CE Marked
SW: v8.0 or above Currently configured for 200mm wafer sizes
Original Manufacture Date: Jan, 2001
CE-Marked
Softw Currently configured for 200mm wafer sizes
Original Manufacture Date: Jan, 2001
CE-Marked
Softw Process chamber o Spin cup rack
Spray nozzles
Instrument box
Programmable logic controller (PL
o o Currently configured for H2 Sinter, LT Metal Anneal for 200 mm wafers Date of manufacture: Novembe
Currently configured for H2 Sinter, Metal Anneal for 200 mm wafers Currently configured for Polyimide cure for 200mm wafers
Date of manufacture: May 1997, installed 5 200mm notch
SMIF Ready
Although some pictures may show SMIF Load-ports, they are not included 200mm notch
SMIF Ready
Although some pictures may show SMIF Load-ports, they are not included 200mm notch
SMIF Ready
Although some pictures may show SMIF Load-ports, they are not included 200mm notch
SMIF Ready
Although some pictures may show SMIF Load-ports, they are not included 200mm notch
SMIF Ready
Although some pictures may show SMIF Load-ports, they are not included Currently Configured for 300mm Wafer Sizes
MFG Date: 2007
CE Marked
2300 ver 2.0 Mainframe Currently Configured for 300mm wafer sizes
Application: Stack-Copper Process
Install Type: Stand-A Currently Configured for 300mm Wafer Sizes
MFG Date: 2005
Install Type: Through-the-Wall
Mainf Currently Configured for 300mm wafer sizes
MFG Date: Oct 2006
Idle Date: Jan 2010
Install Type: S Currently Configured for 300mm Wafers Manufacture Date: 2000
2300 Versys TM Platform
Dual 30
o

Currently Configured for 300mm wafer sizes


MFG Date: 2005
Install Type: Thru-the-wall (TTW) CE
Currently Configured for 200mm wafer sizes
MFG Date: 2005
Install Type: Stand-Alone
CE Marked Currently Configured for 200mm Wafer Sizes
MFG Date: 2000
Install Type: Through-the-wall (TTW)
Currently Configured for 200mm Wafer Sizes
MFG Date: 2001
Install Type: Thru-the-Wall (TTW) CE
Currently Configured for 200mm Wafer Sizes
MFG Date: 2003
CE Marked
Install Type: Through-the Currently Configured for 200mm Wafers MFG Date: Apr 1997
Install Type: Stand-Alone/Ball-Room
S
Currently Configured for 200mm Wafers MFG Date: Dec 1996
Install Type: Stand-Alone/Ball-Room

Currently Configured for 200mm Wafers MFG Date: Jun 1996
Install Type: Stand-Alone/Ball-Room
S
Model: 2PG10
Description: Automatic Transportation & mounting of a pellicle in a large size photomask Capable of Handling DMS, Canon, & SMIF Cassette Types
Reticle Flows (Left to Right):
DMS Casse o MFG Date: Nov-02
Install Type: Stand-Alone
Capable of Handling DMS, Canon, & SMIF Cassette Typ 9 GHz 40 GS/s 40 Mpts/Ch on 2 Ch; 6 GHz, 20 GS/s 20 Mpts/Ch on 4 Ch MFG Date: Dec 1997
Type: RES101 GVN
CE Marked
Sputter Guns
CCD Camera
Ion Source
(2 Currently Configured for 200mm Wafer Sizes
MFG Date: TBD
CE Marked
Model: MVT 2080 (2x) Si Currently Configured for 200mm Wafer Sizes
MFG Date: TBD
CE Marked
Model: MVT 2080 (2x) Si Currently Configured for 200mm Wafer Size
Original Manufacture Date: 2004
CE Marked
Handler C Currently Configured for 200mm Wafer Sizes
Capable of handling 4 to 8 Wafer Sizes
MFG Date: Se Currently Configured for 200mm Wafer Sizes
Capable of handling 4 to 8 Wafer Sizes
MFG Date: Ju Currently Configured for 200mm Wafer Sizes
Capable of handling 4 to 8 Wafer Sizes
MFG Date: Ma Manufactured 1997
200mm notch
NT computer with GUI touch screen
Ergonomic frame with rotatin 1997 vintage
200mm notch (convertible to 125mm or 150mm) 32 lamps
Ripple pyrometer Gasses

1997 vintage
200mm notch (convertible to 125mm or 150mm) 32 lamps
Ripple pyrometer Gasses

1997 vintage
200mm notch (convertible to 125mm or 150mm) 32 lamps
Ripple pyrometer Gasses

Manufactured 2007
200mm (easily changed to 150mm)
DSM Computer SECS/GEM HSMS
Dual
Manufactured 2007
200mm (easily changed to 150mm)
DSM Computer SECS/GEM HSMS
Dual
Manufactured 2007
200mm (easily changed to 150mm)
DSM Computer SECS/GEM HSMS
Dual
Manufactured 2006
200mm (easily changed to 150mm) Dual blade Rorze robot

-Thin type end effecto 1999 vintage
200mm notch
Ripple pyrometer PMC
SPARC CPU (Force)
MPV904 (Pentland Sys
o o 1998 vintage
200mm notch
Ripple pyrometer PMC
SPARC CPU (Force)
MPV904 (Pentland Sys
o o Currently Configured for 300mm wafer sizes
Mfg Date 2008
Install Type: Ball Room
Cassette Interfa Currently Configured for 300mm wafer sizes
Mfg Date 2008
Install Type: Ball Room
Cassette Interfa Currently Configured for 300mm wafer sizes
Mfg Date 2008
Install Type: Ball Room
Cassette Interfa Currently Configured for 300mm wafer sizes
Mfg Date 2008
Install Type: Ball Room
Cassette Interfa 2007 vintage
RTA and RTO processes
300mm (2x FOUP)
Wafer rotation
Over head transport
Ca 2007 vintage
RTA and RTO processes
300mm (2x FOUP)
Wafer rotation
Over head transport
Ca 2007 vintage
RTA and RTO processes
300mm (2x FOUP)
Wafer rotation
Over head transport
Ca Manufactured 1998
200mm notch
3 Open cassettes
Rorze robot (RR711L1521-3A3-E11-1)
Ripple Manufactured 2005
300mm (3x FOUP)
Carrier ID reader (Hermos RF CID)
SECS/GEM
MBC soa (1) Microvision Plus Series Controller o Sensor Head
Vacuum Cavity Coupling

o 90deg Elbow, Copper Currently Configured for 300mm wafer sizes
MFG Date: 2003
CE Marked
Install Type: Stand-Alone

Currently Configured for 200mm Wafer Sizes


MFG Date: Oct 1996
Model: Exceed2000
Process # E Currently Configured for 200mm Wafer Sizes
MFG Date: Apr 1997
Model: Exceed2000
Process # E 200mm
1996 vintage
Pentium computer One arm robot
Internal Valves
Megapac power supply

300mm
Dual FOUP
MAG 7 robot
2005 vintage
Processes
Nanofill USG STI/PMD/ILD
Nanofill o o 300mm notch
2003 vintage
QNX 4.25
5.50 B37
Two Foup
Speed Modules (2x) o High Freq RF g o
300mm notch
2004 vintage
QNX 4.25
5.50 B37
Two Foup
Speed Modules (2x) o High Freq RF g o
300mm notch
2004 vintage
QNX 4.25
5.50 B37
Two Foup
Speed Modules (3x) o High Freq RF g o
300mm notch
2006 vintage
QNX 4.25
5.50 B37
Two Foup
Speed Modules (2x) o High Freq RF g o
300mm notch
2006 vintage
QNX 4.25
5.51 B11
Three Foup
Speed Modules (3x) o High Freq RF o
Currently Configured for 300mm wafer sizes
MFG Date: 2006
Tool ID: HDPA106
System Software ( 2001 vintage
Shrink Footprint
MAG 7 Robot
Altus Module 1
Minimal overlay exclusion ring (MOER o Shrink footprint
MAG 7 robot
200mm
SECS/GEM
QNX4 operating system
High speed messaging Shrink footprint
MAG 7 robot
200mm
SECS/GEM
QNX4 operating system
High speed messaging 200mm
QNX4 Software
Integrated SMIF
DLCM
MTR 5 robot
- Animatics load lock controller Cha
200mm
DLCM
MTR 5 robot
Animatics load lock controller Chamber 1
Shrink footprint
ENIRF o o
o o 1999 vintage
MTR 5 robot
Ceramic blade
200mm
DLCM
Pfeiffer TMH 260 turbo
Millipore throt o o o 1999 vintage
200mm
DLCM
MAG 7 robot
o Ceramic blade (one blade missing) o Pfeiffer TMH 260 tu
300mm notch
Software Ver 5.511 B12
MAG 7 robots
POS 1 Q300 TTN
36KW DC power supply o 300mm notch
Software Ver 5.511 B12
MAG 7 robots
POS 3 Q300 W
36KW DC power supply
o o Currently Configured for 200mm wafer sizes
MFG Date: 1995
Install Type: Stand-Alone
System SW Configurable for multiple wafer sizes up to 200mm
CE Marked
Handler: Manual Load System Currently configured for 200mm wafer sizes MFG Date: 1998 Conveyor Tray Lifter Shuttle for Panasonic CM-402 placement systems Tool PN: B3123015
Standard Specifications:
Dimensions: 110 x 65 x 70 (104cm deep w/furnace auto o Capable of handling 200/300mm Vertical/ Horizontal Furnace Quartz-ware & SIC parts Capable of handling 200 & 300mm vertical furnace quartz-ware & SIC
MFG Date: Nov-06
Model: S-7 (5) Custom built Clean-Room Parts Cabinets
Work-space Bench Top
Interior Drawers & Shelves
Ro Turbo Pump: (1) Seiko Seiki H2000C sn0958
Turbo Cntrl: (1) Seiko Seiki STP-H2000C
Tote 1 of 4_N (4) Clean-Room Monitor Stands
Material: Stainless Steel
Wheel Casters o Single Post mounted she o o
Currently Configured for 200mm Wafer sizes
MFG Date: Oct 2004
Model: RXW-0826SFIZ-SMIF
Ca Currently Configured for 200mm Wafer Sizes
Capable of handling 5, 6, 8 wafer sizes
MFG Date: Ju Currently Configured for 300mm wafer sizes
MFG Date: 2005
Model: MFM65-RFBFTFT
Cassette In Laser Marking System
LME Starmarkz SS
Class 1 Lamp Pumped Nd: YAG Laser Wavelength: 106
Stainless steel construction
Used for discharging 1 gallon mixer cans
Power requirement: 208V, single Stainless steel construction
Used for discharging 2 gallon mixer cans
Power requirement: 208V, single Stainless steel construction
Used for discharging 4 gallon mixer cans
Power requirement: 208V, single Stainless steel construction
Rated full vacuum internal
1 gallon stainless steel jacketed mixing can
V Stainless steel construction
Rated full vacuum internal
4 gallon stainless steel jacketed mixing can
M Stainless steel construction
Rated full vacuum internal
4 gallon stainless steel jacketed mixing can
M Stainless steel construction
Rated full vacuum internal
4 gallon stainless steel jacketed mixing can
M

Currently Configured for 200mm wafer sizes


MFG Date: Aug 2000
CE Marked
Load Type: Single W Currently Configured for 300mm wafer sizes
MFG Date: 2003
Install Type: Stand-Alone
Cassette Int Currently Configured for 300mm Wafer Sizes
MFG Date: 2007
Install Type: Thru-the-wall (TTW) CE
Device Type: Lead & BGA packages
Handling Type: Tray to Tape & Reel
Pick and Place: Dual Head
Device Type: Lead & BGA packages
Handling Type: Tray to Tape & Reel
Pick and Place: Dual Head
Currently configured for 200mm wafer sizes
BEOL Polymer Removal
Model: WS-S3
MFG Date: 199 Currently Configured for 200mm Wafer Sizes
Install Type: Stand-Alone
Cassette Interface:
Open C o 300mm
10/2005 vintage
SW version 2.11.2.2
2x FOUP (25 wafers)
ULPA filters Control monitor

Currently Configured for 300mm wafer sizes
MFG Date: 2005
CE Marked
Install Type: Stand-Alone Currently Configured for 300mm wafer sizes
MFG Date: 2006
CE Marked
Install Type: Stand-Alone Currently Configured for 300mm wafer sizes
MFG Date: 2006
CE Marked
Install Type: Stand-Alone Currently Configured for 300mm wafer sizes
MFG Date: 2003
CE Marked
Install Type: Stand-Alone Currently Configured for 300mm wafer sizes
MFG Date: 2003
CE Marked
Install Type: Stand-Alone Currently Configured for 300mm wafer sizes
MFG Date: 2004
CE Marked
Install Type: Stand-Alone Model A1D00119780 SIPLACE X4 4 Gantry SMD Placement System
Throughput: 80,000 cph
Num Model: INR-341-56A
Manual included (Soft Copy version only) Standard Specifications:
Coating: Me
o Model: INR-341-60A-X20
Manual included (Soft Copy version only) Standard Specifications:
Coatin
o 300mm
Aug 2007 vintage
Software version ZM.240A
GEM capable
4x FOUP (25 wafers)
Left to R Wafer Capability up to 8 (200mm) MFG Date: TBD
IBM PS/Valuepoint Computer o Intel 486DX at 33

Currently Configured for 12 wafer sizes
MFG Date: 2004
Install Type: Stand-Alone
Cassette Interfa Configured for 200mm wafers Piranha Clean
MFG Date: March 1998
Install Type: Stand Alone
CE
Configured for 200mm wafers Piranha Clean
MFG Date: 1996
Install Type: Stand Alone
CE Marke
Currently Configured for 200mm Wafer Sizes
MFG Date: Feb 1997
Model: AWP, Type CP/H2O2
Ins Currently Configured for 200mm Wafer Sizes
MFG Date: Dec 1996
Model: AWP, Type BOE
Install T Currently Configured for 200mm Wafer Sizes
MFG Date: 1997
Model: AWP, Type 3 (CS031) Install
Currently Configured for 200mm Wafer Sizes
MFG Date: Jan 1997
Model: AWP, Type 1
Install Type Currently Configured for 200mm Wafer Sizes
MFG Date: Feb 1997
Model: AWP, Type AZ/IPA
Install Currently Configured for 200mm Wafer Sizes
MFG Date: Feb 2001
Model: AWP, DSP
Install Type: S Currently Configured for 200mm Wafer Sizes
MFG Date: 1998
Model: AWP, Type
Install Type: Stan Currently Configured for 200mm Wafer Sizes
MFG Date: 1998
Model: AWP, Type 1
Install Type: Sta Currently Configured for 200mm Wafer Sizes
MFG Date: 1998
Model: AWP, Type 1
Install Type: Sta Currently Configured for 200mm Wafer Sizes
MFG Date: 1998
Model: AWP, Type 1
Install Type: Sta Currently Configured for 200mm Wafer Sizes
MFG Date: 1998
Model: AWP, Type 1
Install Type: Sta Currently Configured for 200mm Wafer Sizes
MFG Date: 1998
Model: AWP, Type III
Install Type: Sta Currently Configured for 200mm Cassette Carriers
MFG Date: Jan-97
Process Flow (LeftRight):
L o SX3100 Ambient/Hot Temperature Handler
Full Auto. Input Tray Stacker (300mm) Full Auto. Empty Tr
SX3100 Ambient/Hot Temperature Handler
Full Auto. Input Tray Stacker (300mm) Full Auto. Empty Tr
SX3100 Ambient/Hot Temperature Handler
Full Auto. Input Tray Stacker (300mm) Full Auto. Empty Tr
400MHz Arbitrary Waveform Generator (MF & TH)
Includes:
1 - 949-681-50 WHFAWG400 Diff. Cha o Wave Measure Module w/High Selectivity
Teradyne P/N 803-595-01

Teradyne Catalyst RF Modulated Source Kit 806-351-80, Includes:


(1) 803-722-00 w/LA307-01 (MF BD o Very High Frequency Continuous Waveform Source (MF & TH)
Includes:
1 - 879-906-51 VHF CW C o Teradyne J750 Probe Interface Board (PIB) Manufactured by K&S
P/N 502563-1 Rev. J
Interface b
Teradyne J750 Prober Docking System
Manufactured by ESMO GmbH
Model m-flex-dock for J750
Teradyne J750 Prober Docking System
Manufactured by ESMO GmbH
Model m-flex-dock for J750
Teradyne J750 Prober Docking System
Manufactured by ESMO GmbH
Model m-flex-dock for J750
Teradyne J750 Prober Docking System
Manufactured by ESMO GmbH
Model m-flex-dock for J750
Teradyne J750 Prober Docking System
Manufactured by ESMO GmbH
Model m-flex-dock for J750
Teradyne J750 Prober Docking System
Manufactured by ESMO GmbH
Model m-flex-dock for J750
Teradyne J750 Prober Docking System
Manufactured by ESMO GmbH
Model m-flex-dock for J750
Teradyne UltraFLEX Signal Delivery Tower 1620 pins
Teradyne P/N 420-483-50
Teradyne P/N 810-1
Teradyne UltraFLEX Signal Delivery Tower 1620 pins
Teradyne P/N 420-483-50
Teradyne P/N 810-1
Currently Configured for 200mm Wafer Sizes
MFG Date: Jan 1998
Process Type: Single Wafer Cas
Currently configured for 200mm Wafer sizes
MFG Date: Apr 1998
CE Marked
Process Type: Single Currently Configured for 200mm Wafer Sizes
MFG Date: Oct 1997
Process Type: Single Wafer Cas
Currently Configured for 200mm Wafer Sizes
Capable of processing 300mm Wafer Sizes
MFG Date: Currently Configured for 200mm Wafer Sizes
Capable of handling 150mm & 200mm Wafer sizes
MFG 2006 vintage
300mm
Dual FOUP
WAVES Controller UP box
Boat elevator with tube shutter Cas

2005 vintage
300mm
Dual FOUP
N2 Load lock
Load size 125 wafers Heater Element Low-Temp.
Configured for 200mm silicon wafer processing
Simple Dichlorosilane Silicon Nitride process
Rebuilt i Currently Configured for 200mm open cassette operation
PH3 Doped Poly process configuration
Rem Configured for 200mm silicon wafer processing
Alloy low temp process configuration
Date of manufa Alpha 8S-ZAF
Configured for 8 / 200mm
Oxidation configuration
1997 vintage
From pilot environm 200mm
Vintage Jan. 1996
SMIF (1x LPT 2200) Software Version V07.02/R0002
TS4000Z controll
Silicon Nitride processing with 200 mm wafers January 1997 D.O.M.
Integrated SMIF ASYST Pod Loa
200mm
Vintage Jan. 1997
SMIF (2x Asyst)
Software Version V07.02/R0002
TS4000Z controller H
200mm
Vintage Apr. 1998
SMIF (2x Asyst)
Software Version V07.02/R0004
TS4000Z controller H
200mm
Vintage Nov. 1997
SMIF (2x Asyst)
Software Version V07.02/R0004
TS4000Z controller H
200mm
Vintage Feb. 1997
SMIF (2x Asyst)
Software Version V07.02/R0002
TS4000Z controller H
Currently Configured for NODE (Nitride) with 200mm Notched Wafers Vintage: 1999
1+5 Wafer Type
Furnace #1: Load Lock System: N2 Pass Box
Boat rotation - Yes
urnace #2:
Load Lock System: Ye
F Furnace #1: Vintage: 1998
Damaged Baratron
urnace #2:
Vintage: 1997
Quartz Tube damaged

F C Furnace #1: Boat Rotation - Clockwise
Damaged heating element
Damaged Quartz
urnace #2:
Bo
F Furnace #1: Vintage: 1999
Rust and corrosion in heating element
Broken Quartz
Quartz parts: Out
Furnace #1: Currently Configured NODE (Nitride) with 200mm Notched Wafers Boat rotation: Needs in

Furnace #1: Currently Configured for TEOS Processing with 200mm Notched Wafers Vintage: 1997


F Furnace #1: Currently Configured for Nitride Processing with 200mm Notched Wafers Gas System
N2

Furnace #1: Currently Configured for Nitride Processing with 200mm Notched Wafers Gas System
N2

Furnace #1: Vintage: 1997
Heater VMM-40-101 / A01009785467
urnace #2:
Vintage: 1998
Heater
F Furnace #1: Heater VMM-40-101 / A010097Z5780
urnace #2:
Heater VMM-40-101 / A010097Z5759

F C

Furnace #1: Heater VMM-40-101 / A010098Y5314


urnace #2:
Heater VMM-40-101 / A01009825128

F C Furnace #1: Vintage: 1999
Boat rotation - No
urnace #2:
Vintage: 1998
Boat rotation - Clockwise

F C Furnace #1: Currently Configured for Arsenic Doped Poly with 200mm Notched Wafers Vintage: 1999


Furnace #1: Vintage: 1997
urnace #2:
Vintage: 1999
ommon configuration information:
Currently
F C Furnace #1: Currently Configured for Arsenic Doped Poly with 200mm Notched Wafers Boat Rotation:

Currently Configured for Arsenic Doped TEOS with 200mm Notched Wafers
1+5 Wafer Type
Integra Furnace #1: Vintage: 1997
Boat rotation - Yes
Heater VMM-40-101/ A01009785465
Gas System:

N 200mm
Vintage Sep. 1999
SMIF (2x Asyst)
Software Version V07.02/R0004
TS4000Z controller
200mm, configured for Atmospheric Copper Alloy
CE marked, U/Box layout
2001 Date of Manufacture 200mm, configured for Atmospheric Copper Alloy
CE marked, U/Box layout
2001 Date of Manufacture Configured for 200mm silicon wafer processing
SOD (di-electric) cure / low temp Anneal process confi Configured for 200mm silicon wafer processing
SOD (di-electric) cure / low temp Anneal process confi Process configuration LPRO Low Pressure Radical Oxidation (Rad Ox) Configured for 200mm open
Currently Configured for Low Pressure Rad Ox Processing
Vintage: 2006
1+4 Wafer Type
Integrat Currently Configured for Arsenic Doped Poly with 200mm Notched Wafers Vintage: 2004
1+5 Wafer T
Currently Configured for Arsenic Doped Poly with 200mm Notched Wafers Vintage: 2003
1+5 Wafer T
Single Process Block Configuration
200mm Operation
3/1997 Vintage
4 Open Cassette Load Statio Single Process Block Configuration
200mm Operation
3/1998 Vintage
4 Open Cassette Load Statio Single Process Block Configuration
200mm Operation
12/1998 Vintage
4 Open Cassette Load St Single Process Block Configuration
200mm Operation
6/1998 Vintage
4 Open Cassette Load Statio 300mm
2005 vintage
4x FOUP (25 wafers)
Left to Right
High speed IBFM
4x Coat Modules
RG o 300mm
2005 vintage
4x FOUP (25 wafers)
Left to Right
High speed IBFM
4x Coat Modules
RG o 300mm
2007 vintage
6x FOUP (25 wafers)
Left to Right
GEM / CIM JGJ (Hardware Interface : Eth 200mm
November 1999 vintage
SMIF
3x Carrier stations
Right to Left
2x Coat Modules
IntelliG o 200mm
March 1997 vintage
SMIF
3x Carrier stations
Right to Left
2x Coat Modules
2x RRC pu o 200mm
March 1997 vintage
SMIF
3x Carrier stations
Right to Left
2x Coat Modules
2x RRC pu o 200mm
March 1997 vintage
SMIF
3x Carrier stations
Right to Left
2x Coat Modules
2x RRC pu o 200mm
Feb 1997 vintage
SMIF
3x Carrier stations
Left to Right
2x Coat Modules
2x RRC pum o 200mm
Feb 1998 vintage
SMIF
3x Carrier stations
Stand alone
2x BARC Coat Modules
IntelliG o 200mm
May 1997 vintage
SMIF
3x Carrier stations
Left to Right
Carrier Station arm/Main arm/IF 200mm
March 1997 vintage
SMIF
3x Carrier stations
Left to Right
Carrier Station arm/Main arm/ 200mm
March 1997 vintage
SMIF
3x Carrier stations
Right to Left
Carrier Station arm/Main arm/ 200mm
May 1997 vintage
SMIF
3x Carrier stations
Right to Left
Carrier Station arm/Main arm/IF 200mm
May 1997 vintage
SMIF
3x Carrier stations
Left to Right
Carrier Station arm/Main arm/IF 200mm
May 1997 vintage
SMIF
3x Carrier stations
Right to Left
Carrier Station arm/Main arm/IF 200mm
May 1997 vintage
SMIF
3x Carrier stations
Left to Right
Carrier Station arm/Main arm/IF 200mm
August 1997 vintage
SMIF
3x Carrier stations
Left to Right
Carrier Station arm/Main arm 200mm
April 2000 vintage
SMIF
3x Carrier stations
Left to Right
Carrier Station arm/Main arm/IF 200mm
July 1997 vintage
SMIF
3x Carrier stations
Left to Right
Carrier Station arm/Main arm/IF 200mm
July 1997 vintage
SMIF
3x Carrier stations
Right to Left
Carrier Station arm/Main arm/IF

200mm
January 1997 vintage
SMIF
3x Carrier stations
Left to Right
Carrier Station arm/Main arm 200mm
July 1997 vintage
SMIF
3x Carrier stations
Left to Right
Carrier Station arm/Main arm/IF 200mm
August 1997 vintage
SMIF
3x Carrier stations
Right to Left
Carrier Station arm/Main arm 200mm
September 1999 vintage
SMIF
3x Carrier stations
Right to Left
Carrier Station arm/Main 200mm
April 1998 vintage
SMIF
3x Carrier stations
Left to Right
Carrier Station arm/Main arm/IF Currently Configured for 300mm Wafer Sizes
MFG Date: 2005
Cassette Interface:
x2 300mm FOUP o Currently Configured for 300mm wafer sizes
MFG Date: 2006
System:
FOUP Type 1: Entegris (AF3 o Currently Configured for 300mm wafer sizes
MFG Date: 2006
System:
FOUP Type 1: Entegris (AF3 o Currently Configured for 300mm wafer sizes
MFG Date: 2006
System:
FOUP Type 1: Entegris (AF3 o Currently Configured for 300mm wafer sizes
MFG Date: Jan 2006
De-Install Date: Tool is still running Currently Configured for 300mm wafer sizes
MFG Date: Nov 2004
Install Type: Stand-Alone
Telius S Currently Configured for 300mm wafer sizes
Install Type: Stand-Alone
Telius SP Mainframe
OHT Tra Currently Configured for 300mm wafer sizes
MFG Date: TBD
Install Type: Stand-Alone
Platform Typ Currently Configured for 200mm Wafer Sizes
MFG Date: July 17 1997
Model: U2-855DD
Install Typ Currently Configured for 200mm Wafer Sizes
MFG Date: Mar 1997
Model: U2-855DD
M/F Type: Un Currently Configured for 200mm Wafer Sizes
MFG Date: Nov 1997
Model: U2e-855DD
M/F Type: U Currently Configured for 200mm Wafer Sizes
MFG Date: TBD
Model: U2e-85DD
M/F Type: Unity IIe Currently Configured for 200mm Wafer Sizes
MFG Date: TBD
Model: U2e-85DD
M/F Type: Unity IIe Currently configured for 200mm wafers MFG Date: 2004
Install type: Stand Alone
CE Marked
Cass
Currently configured for 200mm wafers MFG Date: 2003
Install type: Stand Alone
CE Marked
Cass
Currently Configured for 300mm wafer sizes
MFG Date: 2004
System:
FOUP Type 1: Entegris (AF3 o Currently Configured for 300mm wafer sizes
MFG Date: 2004
System:
FOUP Type 1: Entegris (AF3 o Currently Configured for 300mm wafer sizes
MFG Date: 2003
Wafer Flow: RearFront
System:
(2 o Currently Configured for 300mm wafer sizes
MFG Date: 2004
Wafer Flow: RearFront
System:
(2 o Currently Configured for 200mm Wafer Sizes
MFG Date: 1998
CE Marked
Energy Range: 1-80kV
Currently Configured for 300mm wafer sizes
MFG Date: TBD
Factory Interface:
(4) Load Port Statio o Currently Configured for 300mm wafer sizes
MFG Date: TBD
Factory Interface:
(4) Load Port Statio o Currently Configured for 300mm wafer sizes
MFG Date: TBD
Factory Interface:
(4) Load Port Statio o Currently Configured for 300mm Wafer Sizes
MFG Date: 2004
Cassette Interface:
x1 300mm Open o Currently Configured for 300mm wafer sizes
MFG Date: 2003
CE Marked
Install Type: Stand-Alone Currently Configured for 300mm wafer sizes
MFG Date: 2004
CE Marked
Install Type: Stand-Alone Currently Configured for 200mm Wafer Sizes
Model: Dimension X (Dual FOUP Config) MFG Date: 20
Hewlett-Packard high speed engineering VLSI test system 352 digital channels 4 Source Channels E9714A-66503
Audio AWG (1.024 Msps 24-bit)
Video AWG (100 Msps 14-bit)
o o o 4 Source Channels E9714A-66503
Audio AWG (1.024 Msps 24-bit)
Video AWG (100 Msps 14-bit)
o o o 4 Source Channels E9714A-66503
Audio AWG (1.024 Msps 24-bit)
Video AWG (100 Msps 14-bit)
o o o 4 Source Channels E9714A-66503
Audio AWG (1.024 Msps 24-bit)
Video AWG (100 Msps 14-bit)
o o o 4 Source Channels E9714A-66503
Audio AWG (1.024 Msps 24-bit)
Video AWG (100 Msps 14-bit)
o o o 4 Source Channels E9714A-66503
Audio AWG (1.024 Msps 24-bit)
Video AWG (100 Msps 14-bit)
o o o 4 Source Channels E9714A-66503
Audio AWG (1.024 Msps 24-bit)
Video AWG (100 Msps 14-bit)
o o o

4 Source Channels E9714A-66503


Audio AWG (1.024 Msps 24-bit)
Video AWG (100 Msps 14-bit)
o o o 4 Source Channels E9714A-66503
Audio AWG (1.024 Msps 24-bit)
Video AWG (100 Msps 14-bit)
o o o 4 Source Channels E9714A-66503
Audio AWG (1.024 Msps 24-bit)
Video AWG (100 Msps 14-bit)
o o o 4 Source Channels E9714A-66503
Audio AWG (1.024 Msps 24-bit)
Video AWG (100 Msps 14-bit)
o o o 4 Source Channels E9714A-66503
Audio AWG (1.024 Msps 24-bit)
Video AWG (100 Msps 14-bit)
o o o 4 Source Channels E9714A-66503
Audio AWG (1.024 Msps 24-bit)
Video AWG (100 Msps 14-bit)
o o o MS-DPS (E9711A) power supply for use in Verigy V93000 Cxxx, Pxxx, and PinScale systems
Does not MS-DPS (E9711A) power supply for use in Verigy V93000 Cxxx, Pxxx, and PinScale systems
Does not P/N E9684
WDA High Speed Digitizer Sampling Rate: 1Msps to 41Msps
Resolution: 12-bit
Bandw
P/N E9684
WDA High Speed Digitizer Sampling Rate: 1Msps to 41Msps
Resolution: 12-bit
Bandw

URL Link http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S8302.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S3620.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S6728.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7168.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7169.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S8121.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4187.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5046.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5047.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7163.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7165.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7166.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S6185.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S6186.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S6187.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S6188.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S1988.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S3725.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S3727.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S3961.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S3965.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4051.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S3992.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S3679.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S6155.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S6156.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S6157.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S6158.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S6159.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5833.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5840.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5842.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5849.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5853.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5854.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5856.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5859.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S6342.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S6478.pdf

http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S6479.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5846.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S6160.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S6161.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S6162.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S6194.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S6196.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7513.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7514.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7515.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4010.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S2010.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4011.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S0215.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S3791.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S6488.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S2100.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5999.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4178.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S0233.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S2032.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S2073.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4192.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4193.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S2058.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S2059.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S1941.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S1942.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S3754.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S3756.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S3609.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S1897.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S1898.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S0170.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S0186.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S3624.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S0184.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S2353.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S3070.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S0280.pdf

http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S0281.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S0598.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S6024.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7662.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S2361.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S3279.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S1690.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S1691.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4709.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S8213.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S6747.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S6209.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S6210.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S6211.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5077.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S8306.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S8262.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7170.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7171.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S0343.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5820.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5823.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S6213.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S6932.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5818.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5819.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5821.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5822.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5824.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5825.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5827.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5828.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5829.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5883.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5884.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5889.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5891.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5893.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5894.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5896.pdf

http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5898.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5899.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5901.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5904.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5906.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5907.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5908.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5909.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5912.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5913.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5914.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5915.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5916.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5917.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5918.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5919.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5929.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5930.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5931.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5932.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5933.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7172.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7173.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7174.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7175.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7176.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7178.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7181.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7183.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7184.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7185.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7186.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7189.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7753.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7788.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7789.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S6733.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S2267.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S2265.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7190.pdf

http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7191.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7192.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7963.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7964.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S3627.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5816.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S0305.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S0287.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S0288.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S0285.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S0286.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S6716.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S1974.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7748.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S3633.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S0517.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S8243.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S6000.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S6001.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4875.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4876.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4878.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4879.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4883.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4889.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4890.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4898.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4899.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4900.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4901.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4908.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4909.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4913.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4916.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4928.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4929.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4944.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4949.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4950.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4957.pdf

http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4964.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4965.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4972.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4973.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4974.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4975.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4994.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4995.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4985.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4989.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4990.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4992.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4993.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5002.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5003.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5011.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5016.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5004.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5006.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5007.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5008.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5021.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5022.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4868.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4870.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4910.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4911.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4912.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4917.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4918.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4919.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4920.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4921.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4924.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4925.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4932.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4951.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4952.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4954.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4955.pdf

http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4956.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4959.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4960.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4969.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4971.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4996.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4997.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4998.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S6480.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S6481.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S6482.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S6483.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S6484.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S6485.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7219.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7220.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7221.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7222.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7193.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7196.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7197.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S8062.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S8063.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S6527.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S6528.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S6525.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S6526.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5965.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5966.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5855.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5857.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5858.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5890.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5892.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5895.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5897.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5900.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5902.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5903.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5905.pdf

http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5957.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5958.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5959.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5960.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5961.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5962.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5963.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5964.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4649.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4650.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S8309.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7659.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7660.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7661.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S3707.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S3709.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S3734.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S2038.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S2075.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S2085.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S2086.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S2087.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S2308.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S2309.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S3713.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S3716.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S3735.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S3737.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S3712.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5608.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S2418.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7076.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S3787.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S2157.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S2417.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7258.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S6720.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S3636.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S0368.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S2109.pdf

http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S0172.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S0175.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S3638.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S0187.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S0193.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4004.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7198.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7226.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S1779.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S0384.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S0385.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4056.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S0297.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S2381.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S3825.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4202.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S1918.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S2220.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S3298.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S0684.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S0174.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S0377.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S1780.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S3283.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S0145.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S0147.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S6734.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S1585.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S1586.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S1587.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S1977.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S1978.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S1979.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S1980.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S1981.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S3604.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S8206.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S3640.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S8230.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S0349.pdf

http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4370.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S6004.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4864.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S1840.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S3834.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S1551.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S2077.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S2078.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4125.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4203.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4204.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S6738.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S6715.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4194.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4197.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S0146.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S3836.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S3837.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S3838.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S1865.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S3851.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S3856.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S3859.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S1693.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S1694.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S1695.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S6025.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S3862.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S3863.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5870.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5871.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5872.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5873.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S6960.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S6961.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S6962.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7342.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S3284.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5027.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7227.pdf

http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S1554.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S1555.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S0925.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S3126.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S6146.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S6147.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S6148.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S6150.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S6152.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S8207.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S1147.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S1704.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S6360.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S0164.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S0429.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7428.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7429.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7201.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7202.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7989.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S0265.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S6005.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5691.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S6955.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5809.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S3703.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5100.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5102.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5101.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S2389.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S2415.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S3646.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S6454.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S8261.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S8260.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S8259.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S8258.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S8255.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S8256.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S8257.pdf

http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S0476.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7228.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4373.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5156.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5157.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S0516.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4116.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S3685.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S6735.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S6736.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S6737.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7203.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7205.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7206.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S6721.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S0080.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S0081.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S3617.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S6403.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S6732.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S0546.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S0548.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S1857.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S1976.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S2012.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S2029.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S2043.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S2090.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4102.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4106.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4107.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4112.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4114.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4115.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S2420.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4630.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4631.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4632.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S3072.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4498.pdf

http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5111.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5479.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5517.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5522.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5523.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5524.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5525.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5526.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5527.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5528.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7954.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7955.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S2001.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S0465.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S2026.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S2416.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S1849.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4409.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4411.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S1700.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S1736.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S0682.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S0355.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S1957.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S1597.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S1847.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S1902.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S1934.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S1965.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S3913.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7650.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7651.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7652.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7653.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7654.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7658.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7945.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7946.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7644.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7645.pdf

http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7646.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7647.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7655.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7656.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7657.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S3902.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7649.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S1958.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S0244.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S0245.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S1702.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S1703.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S1740.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7436.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S3926.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S3927.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S3874.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S3875.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S3876.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S3877.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5947.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5949.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7212.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S1464.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S1467.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S1468.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S1469.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S1470.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S1471.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S2117.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S2119.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S2122.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S2123.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S2133.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S2134.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S2149.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S2156.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S2160.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S2163.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S2172.pdf

http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S2178.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S2185.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S2190.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S2193.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S2200.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S3649.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5972.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5973.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5975.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S8244.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5860.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S8132.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7952.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S1561.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S2024.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S2070.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4050.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4059.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S0221.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S0236.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5866.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5868.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S6476.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S6477.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S0918.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S8183.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S8204.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S8205.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S3654.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7215.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7216.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4077.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S8277.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7018.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7019.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7021.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7022.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7023.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7024.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7025.pdf

http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7026.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7027.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7028.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7029.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7030.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S7031.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S8292.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S8293.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S4653.pdf http://www.macquarie.com/uk/electronics/remarketing/equipment_avail/S5531.pdf

Potrebbero piacerti anche