Sei sulla pagina 1di 9

RELOJ DIGITAL

UNIVERSIDAD NACIONAL DEL CALLAO


FACULTAD DE INGENIERA ELCTRICA Y ELECTRONICA ESCUELA PROFESIONAL DE INGENIERA ELECTRNICA GARCIA MUOZ EFRAYN (062591-C)

I. OBJETIVOS DEL PROYECTO 1. Elaborar un Reloj Digital utilizando los conceptos de Circuitos Integrados adquiridos en el curso Circuitos Digitales. 2. Disear el proyecto en protoboard utilizando Circuitos Integrados que cumplan las funciones de reloj, empleando compuertas lgicas bsicas, contadores y decodificadores. 3. Identificar al final de la experiencia el comportamiento interno de un reloj digital y cada uno de sus componentes.

II. INTRODUCCION Desde hace muchos siglos el hombre estableci su relacin con el tiempo, basado sobre todo en los fenmenos naturales constantes como el da y la noche, el movimiento del sol, de los planetas y de las estrellas. De esta manera se realizaron construcciones, calendarios, y otros elementos tiles para medir el tiempo; fue el nacimiento del reloj. Los primeros relojes se construyeron utilizando la sombra del sol y su variacin de acuerdo con la posicin. Luego aparecieron los relojes mecnicos que han acompaado al hombre durante muchos aos y de los cuales se han realizado verdaderas obras de arte. En el nacimiento y desarrollo de la tecnologa electrnica, no poda faltar su aporte a la medicin del tiempo. Esta ciencia ha facilitado la elaboracin de relojes de todo tipo, desde modelos personales de muy bajo costo hasta sistemas altamente sofisticados cuya operacin est controlada por un microprocesador miniatura con un tamao de unos pocos milmetros.

III. FUNDAMENTACION TEORICA Todos los relojes electrnicos utilizan un tren de pulsos de frecuencia muy precisa, generado por un cristal de cuarzo o un timer, y dividen sucesivamente esta frecuencia hasta lograr pulsos muy exactos de un segundo o fraccin. Los pulsos de segundos se cuentan en orden progresivo y cuando la cuenta llega a sesenta se produce un pulso de minuto. A continuacin estos pulsos se cuentan y cuando han transcurrido sesenta se entrega un pulso correspondiente a una hora. Cada vez que se presentan los pulsos de segundos, minutos y horas, se muestran en los

displays correspondientes, para cuando el reloj este fuera de la hora real del da se agrega 2 pulsadores: uno de minutos y la otra para horas, los cuales nos servirn para configurar la hora exacta a la que estamos. IV. COMPONENTES MS IMPORTANTES UTILIZADOS a) CONTADOR 74LS393.El CI 74HC393 es un doble contador binario de 4 bits. Esta construido a base del flip-flop T. Las entradas de reloj (1P y 2P) son activadas por flanco posterior, o sea, en la transicion de ALTO a BAJO del pulso de reloj. Las entradas de reset (1MR y 2 MR) del maestro en el contador se activan en nivel ALTO, las salidas se etiquetan desde Q0 a Q3, siendo Q0 el LSB y, Q3 el MSB del nmero binario de 4 bits. Requiere una fuente de alimentacion de 5V DC y viene en un CI DIP de14 patillas. Para poder contar hasta un determinado numero, lo que debemos hacer es atrapar el numero siguiente al que queremos llegar con un and y la salida de este conectarlo al MR del 74393 y de esta manera estaremos manipulando al integrado de acuerdo a nuestras necesidades, ya que para hacer un reloj necesitamos que el minutero de display solo llegue asta 60 y el horero llegue asta 23.

Fig. N 1: Encapsulado en donde se puede ver las respectivas patitas del integrado b) DECODIFICADOR 7447.-

Fig. N 2: En esta imagen se puede ver los dos contadores que van en un encapsulado

En este caso el decodificador integrado 7447 es el circuito lgico que convierte el cdigo binario de entrada en formato BCD que sale del 74393 a niveles lgicos que permiten activar el display de 7 segmentos en donde la posicin de cada barra forma el nmero decodificado.

Fig. N 3: el decodificador 7447 en donde se puede ver sus Respectivos ordenamientos de las salidas y entradas c) DISPLAY.El display es un componente, que es utilizado para representar nmeros y algunas letras, este se encuentra compuesto por siete u ocho leds ensamblados por separado, logrando combinar los elementos creando as smbolos. Los primeros siete segmentos se encargan de formar el smbolo y con el octavo podemos encender y apagar el punto decimal. Existen dos tipos de display uno que es el nodo comn y el otro que es el ctodo comn en este caso para mostrar los minutos y las horas vamos ha usar un display ctodo comn.

Fig. N 4: Display de 7 segmentos, a simple vista no se puede diferenciar si es nodo o ctodo comn

d) SEAL DE RELOJ.La figura 5, muestra cmo extraer la seal de CLK y su inversa CLK, de la lnea de red, mediante esta forma de extraccin del doble secundario, se mejora el retardo que se introducira si se empleara una puerta inversora a la salida de CLK, aunque, en algunas aplicaciones no se le de mucha importancia. La salida de CLK de 50Hz se aplica a la entrada del 4022B que es un divisor octal Johnson de 8 salidas ms acarreo (CO), segn la conexin elegida podremos restablecer la cuenta a 5 o 6, dependiendo de la frecuencia de la lnea red. Por consiguiente, la lnea de acarreo, no ser una onda cuadrada simtrica, pero tendr una frecuencia de 10Hz independientemente de

la frecuencia de lnea alterna. Esta referencia de 10Hz estar disponible por si la necesitara, pero probablemente no encontrar mucho uso en prximos experimentos.

Fig. N 5: Aqu mostramos como sacar de la lnea de red elctrica la seal de entrada CLK y su inversa El segundo CI es un tipo CMOS 4017B contador decimal Johnson. No haremos ninguna conexin, permitiendo su natural secuencia de conteo, para que divida su seal de reloj de entrada simplemente por 10. Esto har que, produzca seales de salida separadas para cada cuenta, ms una onda cuadrada simtrica de salida de un 1Hz como seal de acarreo. Salvo con un algn procedimiento experimental concreto, no estamos interesados en las salidas individuales. Sin embargo, la onda cuadrada de 1Hz s nos servir muy bien como una referencia de 1 segundo de tiempo muy exacta que nosotros podemos usar en una amplia gama de prximos experimentos.

Fig. N 6: Aqu mostramos las conexiones para poder obtener nuestra seal de reloj En la mayora de los casos, podramos usar simplemente dos CI 4017 en lugar de un 4017 y un 4022. Decidimos usar el 4022 como primer divisor, para obtener la frecuencia europea de 50Hz fcilmente y en el caso de una frecuencia de 60Hz, con un simple 'puente', se podr cambiar esta opcin. La seal de salida de acarreo (CO) es normalmente alto, la primera mitad del tiempo de cuenta, entonces baja para la segunda mitad. Los contadores se incrementan en el flanco ascendente de la seal de reloj, la seal de acarreo es absolutamente conveniente como seal de reloj de la etapa siguiente.

Ahora, si utilizramos un contador decimal para la primera etapa, la salida de acarreo sera baja para una cuenta completa en 60Hz, pero en 50Hz pasara a baja, suficiente tiempo para restablecer (resetear) el contador. Esto no es suficientemente fiable. Para evitar este problema, aqu utilizamos un contador octal. Consecuentemente, la salida de acarreo es baja por una cuenta en 50Hz, o dos cuentas en 60Hz. Esto evita cualquier posible problema con los pulsos de reloj estrechos.

V. EQUIPOS Y COMPONENTES UTILIZADOS Para la realizacin de este proyecto se necesitaron los siguientes componentes electrnicos y equipos de laboratorio. 1. Seis (6) Circuitos Integrados 74393. 2. Un (1) Transformador: primario de 220V y dos secundarios de 6V 3. Cuatro (4) Circuitos Integrados 7447. 4. Cuatro (4) Circuitos Integrados 7447. 5. Dos (2) Circuitos Integrados 7408. 6. Un (1) Circuitos Integrados 7432 7. Un (1) Circuitos Integrados 7404 8. Dos (2) Protoboard. 9. Dos (2) Leds 10. Dos (2) Diodos Zener de 5'1V 500mA. 11. Cuatro (4) Display de 7 segmentos catodo comun. 12. Treinta y tres resistencias(33): de 330 treinta y uno y 10k dos 13. Un (1) Circuito Integrado LM555. 14. Un (1) condensador de 1mF. 15. Un (1) 4093B CI 4 puertas Trigger-Schmith. 16. Un (1) 4017B CI contador decimal CMOS. 17. Un (1) 4022B CI del contador octal CMOS. 18. Una (1) Fuente de 5 Voltios. 19. Dos (2) Switches Pulsadores. 20. Un (1) Multimetro digital 21. Pinzas, y alambres conectores. VI. IMPLEMENTACIN DEL DISEO a) DECODIFICACION.En esta parte se conecto a cada display sus decodificadores respectivamente, ya que el contador 74393 lo que hace es arrojar valores en binario y para ello usamos el decodificador 7447 para llevar de binario a 7 segmentos el cual es la cantidad de leds que tiene el display

Fig. N 8: Decodificador 7447 conectado al display b) CONTEO.En esta parte los que se buscar es que nuestro integrado cuente asta los valores que nosotros deseamos, por ejemplo: el segundero de un reloj va desde el 00 hasta 59 segundos, entonces se requiere que en el ultimo display se muestre el conteo de 0 a 9 una infinidad de veces, entonces lo que hacemos es con un 7408 atrapamos el 10 y lo mandamos al MR. De esta manera tenemos asegurado que nuestro display siempre cuente solamente asta el numero 9. Asi sucesivamente para el segundo display se requiere que se cuente desde el 0 hasta el 5, entonces lo que aremos es atrapar con otro 7408 el numero 6 y lo volvemos a mandar al MR del siguiente 74393 y de esta manera tenemos asegurado que este display cuente una infinidad de veces desde el 0 asta 5 con los otros se hace anlogamente.

Fig. N 9: Configuracin del contador 74393 para contar del 0 al 9 c) PROGRAMADOR DE LOS MINUTOS Y LAS HORAS Para que el contador pase de un numero a otro se necesita un pulso de reloj, en este proyecto los segunderos se muestran en unos leds, esto significa que cuando los leds han parpadeado 60 veces, entonces mediante unos arreglos se enva el pulso numero 59 hacia al siguiente contador para que en ese instante este cambie al siguiente numero, pero si nosotros por otros medios mandamos un pulso a este contador sin la necesidad de que los leds hayan parpadeado 60 veces, entonces tambin lograremos de que este contador pase al siguiente numero;

este otro medio al que hacemos referencia son los pulsadores, los cuales nos servirn para establecer la hora exacta a la que estamos. Esta configuracin se muestra el la fig 10.

Fig. N 10: Configuracin del programador de minutos d) CONSTRUCCIN DEL RELOJ En la siguiente figura podemos mostrar como se implemento en proteus para su simulacin

Fig. N 11: Simulacion de la seal de reloj

PLANO GENERAL DEL RELOJ DIGITAL REALIZADO

Fig. N 12: Circuito general del reloj

VII. CONCLUSIONES 1. no es recomendable usar los pulsos que vienen del 555 por que de hacerlo se corre el riesgo de cometer errores de precisin que influyen directamente en el manejo del tiempo, se recomienda como alternativa un Transformador AC/DC y utilizar, mediante un puente rectificador la frecuencia de 60 Hz que proviene de la red pblica de Corriente alterna. 2. El integrado 74393 aunque cuenta con las entradas y salidas bsicas es tambin adecuado para realizar un reloj de buenas con todos los requerimientos necesarios, por ello se convierte en una alternativa mas para realizacin de proyectos que tiene que ver con el conteo. 3. Por ultimo como aporte a las futuras generaciones de estudiantes y de manera constructiva, consideramos que el autoaprendizaje es bueno por que obliga al estudiante a investigar y a profundizar fuera de la clase, se aplica la teora de aprender haciendo.

VIII. REFERENCIAS BIBLIOGRAFICAS a) Libros [1] T. L. Floyd: Fundamentos de Sistemas Digitales; 7 Edicin; Editorial Sanz y Torres; 1993. [2] Ronald J. Tocci: Sistemas Digitales - Principios y Aplicaciones.. Editorial Prentice Hall. 1993. b) Paginas de internet [3] http://www.datasheetcatalog.com/ [4] http://www.monografias.com/trabajos15/visualizador/visualizador.shtml [5] http://www.ladelec.com/teoria/electronica-digital/204-circuitos-integrados-contadores6 ttl-y-cmos.html [4] http://sergioc2005.tripod.com/arqcomp1/Pract5DecBCDa7Seg.pdf [5] http://www.hispavila.com/3ds/lecciones/lecc2.htm [5] http://www.synthdiy.com/files/2002/hef4017b.pdf [6] http://www.floka.com/cmos/pdf/4093.pdf [7] http://www.synthdiy.com/files/2002/hef4017b.pdf [8] http://www.synthdiy.com/files/2002/hef4017b.pdf

Potrebbero piacerti anche